• 통큰쿠폰이벤트-통합
  • 통합검색(45)
  • 리포트(43)
  • 시험자료(2)

"bcd가산기설계" 검색결과 21-40 / 45건

  • VHDL - 4bit 가산기를 이용한 BCD adder, 4bit 가산기를 이용하지않은 BCD adder
    발생하는 carry는 버리고, 남은자리만 표현하게 구현하였습니다.4bit가산기를 이용하지 않는 BCD산기소스 분석4bit가산기를 이용하지 않고 BCD adder를 설계할 때 결과적으로 ... 4bit 가산기를 이용한 BCD adder소스 분석우선 4bit가산기를 이용하여 두 개의 입력값(a,b)을 더하게 됩니다. process문을 이용하여더한값이 9를 넘어가게 되면 즉, ... 그리고, 첫 번째 자리의 BCD값은 4bit 가산기를 이용하여 6을 더한 값을 넣게 됩니다. 9가 넘는숫자라면 6을 더하게 되면 4bit가산기에서는 최대 표현가능 숫자가 15까지 이기
    리포트 | 3페이지 | 3,500원 | 등록일 2011.11.24
  • 디지털 시스템 실험
    BCD산기설계하시오.3. [1]과[2]의 회로를 참고하여 BCD 가감산을 수행할 수 있게 다음 블록도와 같이 회로를 구성한 후 실험하여라.실험 6-4. n비트 크기 비교기와 산술논리연산회로 ... .· BCD산기와 크기 비교기에 대해 알아본다.실 험 내 용실험 6-1 가산기 회로 구현하고 응용하기0. ... 아래 그림은 2의 보수를 통한 계산 예이다. 3bit가감산 회로를 가산기로 구현하여라.실험 6-3 BCD산기와 감산기 회로 구현하고 응용하기1.
    리포트 | 10페이지 | 1,000원 | 등록일 2012.07.18
  • 디지털 회로 자판기 보고서
    출력부1.Project introduction디지털 회로 설계 및 실습 텀 프로젝트 내용은 디지털 시스템의 원리와 설계 기법을 이용해 원하는 디지털 시스템을 구연하는 것이다. ... A레지스트의 값과 B레지스트의 보수출력 그리고 C0의 값인 HD74HC74P에서의 출력값‘1’이 C0에 입력값으로 들어간 값이 더해진다.위 그림은 2의 보수체계를 이용한 병렬 감가산기이다 ... SN74LS90DE4-decade counter (separate divide-by-2 and divide-by-5 sections)SN74LS90DE4 IC칩은 매진표시부 역할을 하며 2진수를 BCD
    리포트 | 10페이지 | 4,000원 | 등록일 2015.12.18 | 수정일 2021.03.22
  • BCD산기 (7483, 전가산기 두가지방법) 쿼터스
    명 제Quartus tool을 이용하여 전가산기와 8421 가산기(BCD산기)를 설계하라.2. ... 목 적1) 전가산기의 가산 원리를 이해하고 논리게이트를 사용하여 설계한다.2) BCD산기의 가산 원리를 이해하고 논리게이트를 사용하여 설계한다.3. ... 설계 순서1) Quartus tool을 이용하여 전가산기설계2) 전가산기 Simulation 파형 동작확인2) 전가산기 회로를 이용하여 8421 가산기 설계3) BCD산기 Simulation
    리포트 | 14페이지 | 3,000원 | 등록일 2011.12.03 | 수정일 2021.04.11
  • 산술논리연산 (결과)
    따라서 BCD산기의 경우 캐리까지 포함하면 입력이 4+4+1=9개이고, 출력이 4+1=5개가 된다. ... 따라서 실제 회로에서는 주로 감산기를 별도로 설계하지 않고 가산기를 감산기로 사용한다.x yD BO0 00 00 11 01 01 01 10 1(a) 반감산기의 진리표(b) 반가산기의 ... BCD산기BCD산기BCD 코드로 표현된 10진 숫자 2개를 입력으로 받아 덧셈을 수행하여 그 결과를 BCD 코드로 출력하는 회로를 말하며, 십진가산기라고도 한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2012.07.03
  • 진보영일기와 전가산기를 이용한 4-bit 가감산기 설계 제안서 및 설계 결과 보고서
    설계의 사전 조사1. 7487의 구조 - 7487은 4bit의 진-보-영-일기로서 입력 B와 C로서 제어가 되며 A의 입력으로 Y의 출력을 내보내는 가감산기 회로이다. ... 이 소자는 전가산기로서 Carry와 4bit의 BCD 력을 가지게 된다.
    리포트 | 14페이지 | 2,000원 | 등록일 2012.07.17
  • 4자리 가감산기 시뮬레이션
    설계순서① 74H87과 74LS83을 써서 진, 보, 영, 일기의 논리회로 설계② 4자리 가감산기 설계BCD산기 설계5. 관련이론5-1. ... 목적① 진, 보, 영, 일기의 동작을 이해한다.② 진, 보, 영, 일기를 이용하여 4자리 2진수의 가감산회로를 설계하는 방법을 이해한다.③ BCD산기의 가산원리를 이해하고 논리게이트를 ... 제 안 서 < 4자리 가감산기 / 8421가산기 설계 > 2 조1. 실험명4자리 가감산기 / 8421가산기 설계2.
    리포트 | 14페이지 | 2,000원 | 등록일 2010.05.29 | 수정일 2020.12.14
  • 자판기시스템(최종본)
    설계목표1. 10 진수 가산기 관련이론 BCD 코드는 16 진수 이기 때문에 합이 10 이상이면 6 을 더함으로서 0~9 까지의 값을 출력하도록 할 수 있다 .관련이론 2. ... 가감산기 S 에 0 이 입력되게 되면 가산이 되고 S 에 1 이 입력되게 되면 감산이 되는 회로의 기능을 하게 된다 .관련이론 3. ... 자판기 시스템설계목표 관련이론 설계절차 회로도 시뮬레이션 문제점 및 보완사항 예상소요부품 목차돈 투입 버튼 부의 100 원 , 500 원 버튼을 통하여 원하는 금액을 투입한다 .
    리포트 | 12페이지 | 2,000원 | 등록일 2011.11.13
  • 보고서 11
    ● 실험 목표◆ 4비트 2진/Excess-3 코드 변환기의 설계, 구현 및 테스트◆ 오버 플로우 검출이 가능한 부호 있는 가산기설계● 사용 부품◆ 7483A 4비트 2진 가산기◆ ... (두 개의 8비트 수를 더하기 위해 두 개의 74LS83A 가산기를 어떻게 직렬 연결해야 하는지)3.74LS83A가산기에서 C0입력의 기능은? ... 3만큼 증가시키는 것이니 Binary 1001 초과 -> BCD (+6) -> Excess-3 (+3) 이라 총 9 ( 1001 ) 을 증가함.● 추가 조사 결과1.
    리포트 | 13페이지 | 1,500원 | 등록일 2011.02.17
  • 멀티플렉스,디멀티플렉스
    멀티플렉서와 디멀티플렉서 ( / )멀티플렉서와 디멀티플렉서의 구조와 동작 원리를 설명할 수 있다. 4x1 멀티플렉서를 설계할 수 있다. 1x4 디멀티플렉서를 설계할 수 있다.멀티플렉서 ... 라. 4비트 BCD 데이터를 입력받아서 10진수를 표현한다.다음 중 반대 개념이 아닌 것은? ... (예제)①②③④⑤●해독기부호기가산기디멀티플렉서멀티플렉서4x1 멀티플렉서에서 선택 입력은 최소 몇 비트이어야 하는가?
    리포트 | 20페이지 | 2,000원 | 등록일 2010.11.16
  • BCD 코드를 이용한 10진 가산기
    BCD 코드를 이용한 10진 가산기산기 (반가산기)Digital design May 6. 2008Chonnam Uiv Electronics- 1비트의 2개 2진수를 더하는 논리회로 ... 수를 합한 결과인 합 S(sum)과 올림수 C(carry)를 발생하는 회로반가산기입력X Y출력C S0 0 0 1 1 0 1 10 0 0 1 0 1 1 0S = XY C = XY가산기 ... 적합 - 특정 설계 기술 또는 공정 기술과 무관 - 설계 교환 및 설계 재사용이 용이함 - 설계 시간의 단축 - 상위 수준의 설계 가능 - 우수한 문서화(Documentation)
    리포트 | 33페이지 | 3,000원 | 등록일 2008.10.05
  • 디지털 논리회로 VHDL 코딩 과제 4bit full adder 설계
    1. 실험목표이번 실험의 목표는 4bit full adder를 VHDL언어로 코딩하여 ModelSim프로그램으로 시뮬레이션하는 것이었다. (단 XOR를 사용하지 않는다.)2. 실험과정 및 소스코드4bit full adder를 작성하기에 앞서 4bit fulladder의..
    리포트 | 16페이지 | 2,000원 | 등록일 2014.10.13 | 수정일 2015.12.07
  • [가산기레포트]총정리 리포트(전가산기,반가산기,이진병렬가산기,BCD산기)
    BCD산기다음에는 10진수 덧셈을 수행할 수 있는 BCD산기설계해 보자. ... BCD산기덧셈, 뺄셈, 곱셈, 나눗셈 등의 산술연산을 하기 위해 자주 계산기를 사용한다. ... 따라서 BCD산기의 경우 자리올림(carry)까지 포함하면 입력이 4+4+1=9개이고, 출력이 4+1=5개가 된다.그런데 입력개수가 5개 이상이 되면 카르노맵을 이용한 논리식 간소화가
    리포트 | 6페이지 | 1,000원 | 등록일 2007.11.06 | 수정일 2018.10.17
  • 논리회로실험 결과보고서
    INPUT B에 연결하면 BCD Bi-Quinary카운터가 된다. ... 반복함.최하위 비트 (Q0)는 각 카운터펄스에 입력이 주어질 때마다 반전된다.Q0가 1->0(하강전이,↓)일 때 Q1의 값이 반전(보수)되고, 또 Q1의 그래서 16비트 리플캐리가산기의 ... 캐리 예견 설계는 고정된 그룹의 가산기 비트에 대한 캐리 논리를 2단계논리로 줄인 리플캐리 설계를 변형해서 얻을 수 있다.아래 캐리 예견 가산기에서 첫 번째 반가산기의 출력을 각각
    리포트 | 14페이지 | 4,000원 | 등록일 2009.12.10
  • [공학기술]∥. 조합논리회로(학습지도안)
    , 8진/2진 인코더, 3비트 2진 디코더, BCD/10진 디코더, BCD/7세그먼트 디코더각각의 인코더와 디코더를 구성할 때 진리표에 의해 불식을 추출해서 설계한다.14/6(2)멀티플렉서 ... 단원의 개관(1) 학습문제① 논리함수를 간략화 한다는 것은 특성은 똑같으나 논리회로 설계를 간단히 하는 것이며 이에 따라 설계 및 제작비용이 절감되기도 한다. ... 기본연산회로반가산기,전가산기,직병렬가산기반감산기,전감산기,병렬2진감산기3.여러가지 조합논리회로인코더 및 디코더멀터플렉서및 디멀터플렉서코드변환회로비교기곱셈기다단계HAND,NOR회로4.
    리포트 | 21페이지 | 2,000원 | 등록일 2007.07.13
  • 디지털공학실험 11장 가산기 및 크기 비교기 (예비)
    .● 4비트 2진/Excess-3 코드 변환기의 설계, 회로 구성 및 시험● 오버플로우 감지 기능을 갖춘 부호 수 가산기설계■ 사용 부품7483A 4비트 2진 가산기7485 4비트 ... 즉 이들은 A>B 출력이 0이면 0이고 A>B 출력이 1이면 1이다.와비트는 항상0이므로 이들은 접지로 연결되어 있다.표 11-1 2진/BCD 변환가산기 입력비교기A>B출력비 고00000입력이 ... 9보다 크다.0110을 더하라.▶ 회로 시뮬레이션 해보기(2)가산기 입력비교기A>B출력비 고00011입력이 10보다 작다.0011을 더하라.11001입력이 9보다 크다.1001을
    리포트 | 13페이지 | 2,500원 | 등록일 2010.04.06
  • 디지털회로 설계의 기초 4장 연습문제 풀이(설계 제외)
    .4.4 BCD산기 회로를 설계하시오.=> 네모 Black box는 1bit 전가산기를 나타낸다4.5 Negative 출력을 내는 3x8 복호기를 설계하시오.4.6 Active low ... (가) BCD 코드를 Excess-3 코드로 변환하는 코드 변환기들을 설계하시오.abcdABCD00001101000111100010111100110000010000010101001001100011011101001000010110010110101001111011100011001001110110101110101111111100A ... 부호화된 10진수를 7-segment에 나타내기 위해서는 BCD코드를 7-segment의 요소들로 변환하는 회로가 필요하다.
    리포트 | 18페이지 | 1,000원 | 등록일 2007.12.01
  • 실험 예비보고서 3주차 논리 및 연산회로
    컴퓨터는 전가산기를 반가사산기라고 하는 2개의 입력 회로와 조함시켜 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다.boolean 함수 : A?B? ... 설계하려는 회로의 특성(입, 출력)을 출력표(진리표)에 표시한다.2. 이 출력표의 출력을 K-map에 이기한다.3. 최소화 기법을 잉ㅇ하여 최적의 boolean함수를 구한다.4. ... 2진수0×0=01×1=11×0=0예) 1011× 1001-------------1011000000001011-------------1100110÷0=01÷1=1◇ 일반 조합회로 설계절차1
    리포트 | 4페이지 | 1,500원 | 등록일 2008.11.08
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 8장 병렬가산기 및 감산기
    [그림 8-1] HA, FA를 이용한 4 bit 2진 병렬 가산기의 블록도그러나 두 개의 4 bit 2진수를 더하는 회로는 하나의 칩(7483)으로 설계되어 있으므로 IC 7483 ... [그림8-7] 4 bit 2진 병렬 가감산기[ C=Control signal 이며, C=1일 때는 감산기로, C=0 일 때는 가산기로 동작하도록 회로도를 구성함][표 8-2]에 주어진 ... [표 8-2] 4 bit 2진 병렬 가감산기 Output TableInputOutput10진수A10진수B10진수Y101010401001411100+101010-401006011014010040100810000
    리포트 | 7페이지 | 1,500원 | 등록일 2005.03.30
  • 학습지도안-전자계산기일반
    조합 논리 회로 설계1) 설계 방법Min Term 방법 : 1이 적을 경우 1로 설계, Sum of product : 곱항의 합Max Term 방법 : 1이 많을 경우 0로 설계, ... 중앙 처리 장치 구성1) 연산장치(ALU)의 구성 : 산술 연산 및 논리 연산을 수행누산기(Accumulator)산술 연산 및 논리 연산의 결과를 일시적으로 기억하는 레지스터가산기데이터 ... / OR회로 이용)Decorder (해독기) : n -> 2n (2진수,BCD->10진수 / AND회로 이용)멀티플렉서(MUX) : 2n-- n --> 1 (여러대의 장치 동시에
    시험자료 | 25페이지 | 2,000원 | 등록일 2010.01.07 | 수정일 2015.10.14
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:51 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대