• 통큰쿠폰이벤트-통합
  • 통합검색(45)
  • 리포트(43)
  • 시험자료(2)

"bcd가산기설계" 검색결과 1-20 / 45건

  • BCD산기 verilog 설계
    제목BCD산기 설계실습 목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. ... 이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다.실습 내용실습결과Verilog설계- BCD산기의 Verilog ... 코드 기술BCD_ADDERtb_BCD_ADDERmodule BCD_ADDER(A,B,C,RESULT);input [3:0] A;input [3:0] B;output C;output
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 논리회로설계실험 BCD산기 레포트
    코드를 짜기에 앞서 anode형 7segment가 무엇인지, 8비트 bcd산기설계하기 위해서는 어떻게 짜야할지를 여진이와 함께 고민해보았다. ... 논리회로설계 실험 설계과제 보고서주제 : #1 BCD산기 설계1. 설계 배경 및 목표1) 설계 배경컴퓨터는 2진법을 이용하여 계산을 한다. ... BCD Adder to 7 segment 코드를 설계해야한다는 결과를 도출하였다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • BCD산기 설계
    일 자2016.05.15조10조학 번200911758이 름조성문제 목BCD산기설계□ 실습 내용입력된 값들을 정렬하여 정렬된 순서를 출력으로 나타낸다.□ VHDL 코드package ... library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use work.my_package.all;entity bcd ... b : in adder_value;s : out integer range 0 to 31;seg1, seg2 : out std_logic_vector(6 downto 0));end bcd
    리포트 | 3페이지 | 1,000원 | 등록일 2017.07.18
  • 전가산기BCD산기 설계
    값과 이론 값을 비교해 본다.⑤ 7483을 이용해 BCD산기 회로를 구성한다.⑥ 구성된 회로도를 Quartus 를 사용하여 시뮬레이션 한다.⑦ BCD산기의 Simulation 작동 ... 설계 순서5. 소자 선택6. 회로도7. Simulation8. 결과9. Data SheetⅠ. 실험명전가산기BCD산기설계하라.1. ... 명제7483과 AND, OR, XOR 게이트를 사용하여 전가산기BCD산기설계한다.2.
    시험자료 | 6페이지 | 2,000원 | 등록일 2012.04.25
  • BCD to EX-3 가감산기 설계 보고서
    BCD TO EX-3 설계 보고서1. ... 로써 S4S3S2S1이 출력됩니다.가산기에서 출력된 S4S3S2S1는 LED와 연결되어 BCD코드가 3초과코드로 변환 된것을 눈으로 확인 할 수 있게 되어 집니다. ... 나온 결과 값을 BCD 코드로 다시 변환을 실행 한 뒤에 음수면 음수표현까지 가능한 디스플레이, 양수면 양수, 10의 자리가 되어도 캐리 표현이 가능한 디스플레이를 만들어 출력하는
    리포트 | 11페이지 | 1,000원 | 등록일 2011.05.25
  • 쿼터스를 이용한 전가산기와 8421 가산기(BCD산기)를 설계 보고서
    목 적1) 전가산기의 가산 원리를 이해하고 논리게이트를 써서 설계한다.2) BCD산기의 가산 원리를 이해하고 논리게이트를 써서 설계한다.3. ... 설계 순서1) Quartus tool을 이용하여 전가산기설계2) 전가산기 Simulation 파형 동작확인2) 전가산기 회로를 이용하여 8421 가산기 설계3) BCD산기 Simulation ... 명 제- Quartus tool을 이용하여 전가산기와 8421 가산기설계하라.2.
    리포트 | 9페이지 | 1,000원 | 등록일 2011.06.21 | 수정일 2015.12.26
  • BCD산기 설계 결과보고서
    그림[3-46]의 블록도와 같이 두 BCD의 입력을 받아 7-세그먼트 FND에 BCD를 출력하는 BCD산기설계하라. ... BCD산기에서 두 입력이 다음과 같을 때, 16진수 중간 덧셈 결과와 중간 결과가 BCD로 변환된 값을 시뮬레이션으로 나타내라.ab중간 덧셈 결과(HEX)BCD“0111”“0111 ... 디지털시스템 설계 실습 7주차 결과보고서학과전자공학과학년3학번성명※BCD산기 설계1.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    BCD산기를 구조적 모델링방법을 사용하여 한자리수를 덧셈하는 가산기를 만들어 그것을 두개 사용하여 두 자리의 수를 더하는 BCD산기설계하였다. ... 설계 결과1) 소스코드BCD adder1bit adder- 구조적 모델링을 사용하여 bcd산기설계하였다. ... 논리회로설계실험 프로젝트 #1BCD to 7 segment 가산기1.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 기초전자회로및실험2 -ALUs(Arithmetic logic units)를 이용한 n-bit 계산기 설계
    감가산기 - 계산기의 집적도를 고려 가산기와 감산기를 동시에 설계 -AND, OR, XOR 와 같은 기본 소자들로 FULL ADDER 를 구현하고 , 이를 합쳐서 가산기를 구현했다 ... 빼기 스위치 (- SW) B 출력 0 0 0 0 1 1 1 0 1( Bbar ) 1 1 0( Bbar )PSPICE 결과 3 PSPICE Simulation( 감가산기 )설계 이론 ... 출 력 2bit 출력 to 7Segment 연산 출력값 2bit (6bit) 74185 ( Binary to BCD converter ) 7447 (BCD to7segment) BCD
    리포트 | 15페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 디지털 시스템 설계 및 실습 n비트 가감산기 설계 verilog
    이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다.2. ... 실습과정 & 실습화면BCD.v와 tb_BCD.v를 작성한 후 컴파일 해준다. ... 코드1) BCD.vmodule BCD(a,b,C_in,sum,C_out);input [3:0] a,b;input C_in;output [3:0] sum;output C_out;reg
    리포트 | 4페이지 | 1,000원 | 등록일 2020.11.02
  • D Flip-Flop을 활용한 십진 감가산기
    프로젝트 결과 보고서제출일수업 명팀 명프로젝트 주제D Flip-Flop을 활용한 십진 감가산기팀장 및 팀원1) 프로젝트 목차설계 요구 사항 분석일정 계획 및 역할 분담자체 평가 항목회로 ... to 7segment프로젝트 사용 부품입력 모듈74LS74 D FLIP-FLOP – 5개7447 BCD to 7-Segment & 7-Segment display 1개7404 NOT ... Flop-Flop / Negative Edge D Flip-flop = 입력저장계산 - 1차 가,감산-> 가산 예외처리(sum>9 : +0110) , 감산 예외처리(sub 7447 BCD
    리포트 | 13페이지 | 3,500원 | 등록일 2022.05.01
  • 디지털회로실험 텀프로젝트 3비트 가산기를 이용한 7세그먼트 디스플레이
    산기산기에는 반가산기와 전가산기의 두 종류가 있다. 그리고 두 개의 반가산기를 이용하여 전가산기를 구성할 수 있다.1.1.1. ... 가산기 입출력 설계2.1. ... BCD/10진수 디코더BCD/7-세그먼트 디코더 드라이버는 입력받은 BCD 코드로 7-세그먼트 디스플레이 소자의 적정 요소를 on 시켜, 0에서 9까지의 숫자를 표시하는 장치이다.
    리포트 | 9페이지 | 10,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    설계 배경 및 목표1) 설계 배경지난 설계과제를 통해 BCD산기를 만들었고, 7segment를 통해 숫자를 표기하는 방법에 대하여 배울 수 있었다. ... 논리회로설계 실험 설계과제 보고서주제 : #2 STOPWATCH 설계1. ... 지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다.2) 설계 목표VHDL을 이용하여 스탑워치를 만든다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 4자리 2진수 가산기, 감산기 설계결과보고서
    전체 설계7487을 이용한 가감산기7487과 Full adder을 이용하여 ORCAD로 설계한 회로이다.BCD산기 회로8421을 이용하여 가산기를 제작한 회로이다.ORCAD를 이용하여 ... BCD산기를 제작한 회로이다.7. ... 여러 가지 방법이 있겠지만 이번 실험에서는 10이상의 수가 출력될 때 10을 빼거나 10의 2의 보수인 6을 더해줌으로써 BCD코드 출력을 완성시킨다.1) FA가산기FA가산기소자 74LS83은
    리포트 | 8페이지 | 3,000원 | 등록일 2013.07.01
  • A+ 디지털 시스템 실험 7-segment <5주차 예비보고서>
    4bit binary 를 8bit BCD code 로 변환하는 컨버터를 라인 디코더를 이용해 설계BCD 입력을 7-segment로 출력하는 디지털 회로 설계③ Clock을 이용하여 ... 위의 BCD convertor는 shift and Add 방식의 설계도이다.2. ... BCD-to-7 segment 구현BCD코드가 생성이 된 것이 확인 되었다면 이제는 BCD 코드를 7-segment 표시를 위한 디코더를 설계할 차례이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.05
  • A+ 디지털 시스템 실험 7-segment <5주차 결과보고서>
    4bit binary 를 8bit BCD code 로 변환하는 컨버터를 라인 디코더를 이용해 설계BCD 입력을 7-segment로 출력하는 디지털 회로 설계③ Clock을 이용하여 ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목7-segment실험목표① ... 7-Segment를 순차적으로 표현하는 Controller 구현④ 구현된 결과물을 HBE-COMBO II-DLD 보드에 업로드하여 검증⑤ (심화)가산기와 연결하여 계산결과를 표현하는
    리포트 | 15페이지 | 1,500원 | 등록일 2017.07.05
  • <논리회로실험>가산기와크기비교기
    서 론BCD산기는 2개의 BCD숫자를 병렬로 합산하여 역시 BCD로 합의 숫자를 생성하는 회로이다. ... BCD산기는 그의 내부 구조에 보정 논리를 포함하고 있어야 한다. 2진식 합에 0110을 합하려면 제 2의 4비트 2진식 가산기를 쓴다.두 수의 비교는 한 수가 다른 수보다 큰가, ... 결 론4비트 2진/Excess-3 코드 변환기의 설계와 구현 및 테스트를 해보는 실험을 했다.설계를 위해 가산기와 비교기를 사용하였고, 가산기는 입력 A와 B에 대해 각각 더할 숫자의
    리포트 | 3페이지 | 1,500원 | 등록일 2015.12.14
  • [컴퓨터공학기초설계및실험1 예비레포트] 보수를 이용한 감산과 병렬 가감산기
    이를 이용한 2진 병렬 가감산기BCD 병렬 가산기의 회로를 설계하여 실험한다. ... 컴퓨터 공학 기초 설계 및 실험1예비보고서실험제목:보수를 이용한 감산과 병렬 가감산기 (예비)실험일자:제출일자:예비보고서제목 및 목적제목보수를 이용한 감산과 병렬 가감산기(2진 가감산기 ... (이때 부호는 “-“이다.)병렬 가감산기는 가산과 감산의 연산을 하나의 회로로 결합한 2진 가감산기라고도 하며, 이것은 각 전가산기에 exclusive-OR 게이트를 포함시킨 형태로
    리포트 | 3페이지 | 1,000원 | 등록일 2015.03.16
  • 논리회로설계실험 프로젝트 8Bit 계산기
    설계 내용과 방법(1) 설계 내용1) 소스 코드BCD_ ADDER7 segmentSchematic2) 테스트벤치(2) 설계 방법1) BCD adderBCD산기는 기본적으로 4bit를 ... 토의이번 설계의 목표는 8비트 BCD 덧셈기를 설계하고, 그 결과를 7 segment로 출력하는 회로를 설계하는 것이었다. ... 이번 설계에서는 BCD 덧셈 결과를 표시하기 위해 3개의 7segment를 이용하므로, 7 segment 회로의 출력변수는 3개를 갖게 하였다.
    리포트 | 11페이지 | 2,000원 | 등록일 2015.04.17
  • 디지털회로설계이론 산술논리연산
    따라서 실제 회로에서는 주로 감산기를 별도로 설계하지 않고 가산기를 감산기로 사용한다.4bit 병렬 2진 가산기 블록도4진 병렬 가산기 및 가감산기10진수 5에서 7을 감산할 경우의 ... 결과에 (6)10 즉 (0110)2를 더해주는 보상회로가 필요.BCD산기BCD산기BCD산기BDC 가산회로 예제{nameOfApplication=Show} ... 조합회로이다.감산기전감산기 : 아래로 빌려준 자리빌림(Bi)과 함께 X-Y-Bi를 계산하여 출력 D(차이)와 윗자리로부터의 빌려올 자리빌림 B0를 출력하는 조합회로이다.4진 병렬 가산기 및 가감산기4bit
    리포트 | 13페이지 | 1,000원 | 등록일 2013.10.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:27 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대