• 통큰쿠폰이벤트-통합
  • 통합검색(41)
  • 리포트(38)
  • 자기소개서(3)

"quartus 시계" 검색결과 21-40 / 41건

  • LG 이노텍 2015 상반기 합격 자소서
    그 중 한 수업에서 Quartus라는 개발 Tool을 사용하여 프로젝트를 진행했습니다. ... 개발Tool에 대한 수업은 간단한 동작만 배웠고, 디지털시계의 알고리즘을 만들어야 했습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2015.12.13
  • VHDL을 이용한 Digital clock project 기획안
    현제 조원들의 Quartus II의 실행 능력이 부족하고 아직 VHDL언어에 대해서 따로 배운 적도 없고 아직 걸음마 단계 이다.2. ... 초점을 맞추어 디지털시계를 디자인하고 하드웨어로 구현해 보았다. ... 이와 같은 디지털시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자.
    리포트 | 3페이지 | 1,000원 | 등록일 2008.04.10
  • 논리 설계 및 실험, 부산대학교, 논리 설계 텀프로젝트, 디지털 시계 설계 (예비,결과 보고서 및 PPT 포함)
    이 프로그램은 부산대학교 논리설계및 실험 과목 텀프로젝트 디지털 시계 소스코드입니다.본 프로그램에는 PPT(30장 이상) 예비보고서 (30장 이상) , 결과 보고서(80장 이상)이 ... 포함되어있고,디지털 시계의 모든 모듈이 저장되어있습니다.분주회로, 카운터, MUX, DEMUX, 7세그먼트 디코더, 세계시간, 스톱워치, 타이머, 레지스터 등등이 모두 모듈로 저장되어있고
    리포트 | 10,000원 | 등록일 2014.12.22 | 수정일 2016.02.10
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험19) 디지털 시계 설계
    코드2) , , , , 그리고 의 동작을 이해하고, Quartus Ⅱ을 이용하여 시뮬레이션하고, 각 모듈에 대한 심볼을 생성하라.? ? 시뮬레이션? ? ? ? ... 시뮬레이션4) 각 모듈에 대한 심볼을 사용하여 [그림 19.1]을 Quartus Ⅱ 상에서 구현하라.? Block diagram? Block diagram
    리포트 | 8페이지 | 3,000원 | 등록일 2014.10.21 | 수정일 2016.06.15
  • VHDL을 이용한 디지털 시계 제작
    월11 월12 월내 용CYCLONEPLD 보드제작보드 디버깅Quartus II 이용프로그래밍소프트웨어 디버깅최종 보고서 작성2 . ... II 프로그램을 이용하여 디지털 시계를 제작한다.- 공학인으로서 요구되어지는 프로젝트 설계 능력 향상에 중점을 둔다.(2) 계발 일정< 디지털 시계 설계 일정표 >날 짜9 월10 ... 2학기 디지털 시스템 설계 과목으로서 공학인증 과정에 따라 설계 프로젝트를 문서화하기 위해 작성되었다.- 2학기 초 싸이클론 보드를 설계도에 따라서 제작한 후 설계 일정에 따라 Quartus
    리포트 | 19페이지 | 5,500원 | 등록일 2007.04.02
  • 아주대 논리회로실험 프로젝트 FPGA로 Stop Watch 만들기
    Quartus2 프로그램을 이용하여 Stop Watch가 작동할 수 있는 회로를 구성한 뒤, FPGA를 사용하여 Bread Board와 7-segment를 이용하여 동작을 확인한다.2
    리포트 | 15페이지 | 5,000원 | 등록일 2015.03.12
  • altera quatusII DE2보드를 이용한 디지털 시계(알람, 타이머, am/pm, 시간설정)
    device family : cyclone IIavailable device : EP2C35F672C6pin 설정clk, reset, c_mode, s_data, c_data : in std_logic;clk
    리포트 | 3,000원 | 등록일 2012.02.01 | 수정일 2015.01.05
  • [디지털논리회로]Digital clock design
    마지막 4차 과제를 통하여 3학년 때, 시계를 많을 때도 많은 도움이 될 것이라는 확신과 생각을 가지게 되었습니다. ... 설계 결과 및 검증Quartus_II Wave form file- VHDL 소스로부터 나온 타이밍- Block diagram 소스로부터 나온 타이밍- 두 결과 검증 및 비교4. ... 설계 결과 및 검증Quartus_II Wave form fileBCD counter VHDLBlock diagramVHDL소스에서의 파형과 block diagram으로부터의 파형이
    리포트 | 10페이지 | 1,500원 | 등록일 2006.06.21
  • VHDL 디지털 시계
    VHDL을 이용하여 시계, 알람, 타이머, 스톱워치 기능을 설계하였습니다.사용자로부터 입력을 받을 수 있으며, 이를 LCD로 출력합니다.
    리포트 | 63페이지 | 3,000원 | 등록일 2010.12.07
  • 디지털 시계 설계
    DiagramHour , Min , Sec 출력CLk , RST 신호 입력LED Block Diagram시간, 분 , 초의 포트 선언과 디바이더를 위한 십의 자리 일의 자리 선언Quartus
    리포트 | 15페이지 | 2,000원 | 등록일 2008.12.20
  • vhdl_응용논리_디지털시계
    설계 목표 VHDL으로 프로그램 작성 후 이를 알테라DE2 보드로 실현시킨다. 7-세그먼트를 통해 기본적인 시계기능을 100분의 1초를 만들어서 구현한다. ... : EP2C35F672C6N• Display : 7-Segment, LED, 스위치• Quaruts II Web Edition (2) 설계 Specification• 일반 시계
    리포트 | 18페이지 | 1,500원 | 등록일 2011.10.24
  • Verilog HDL을 이용한 디지털 시계
    반복문에 break가 걸리지 않아 밀리 초 구간을 반복 Solution com신호를 전체적으로 1-bit씩 시프트(이동) 전반적인 프로그램 부문 수정텀 프로젝트 주제 선정디지털 시계
    리포트 | 7페이지 | 8,000원 | 등록일 2009.07.20 | 수정일 2022.12.13
  • VHDL로 만든 디지털시계100%작동
    가. 연구개발의 최종목표 - AM/PM 변환 버튼을 만들어 변하게 하고 LED의 깜박임을 통하여 나타낼 것이다 기본 적으로 시, 분, 초, 100분의 1초를 만들어서 4개의 7세그먼트 창에 구현하고, 모드버튼 을 누르면 4개의 세그먼트가 “시/분“을..
    리포트 | 12페이지 | 1,000원 | 등록일 2007.12.27
  • VHDL을 이용한 디지털 시계 구현
    두 번째 term project Digital Clock1. VHDL을 이용한 Digital Clock 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_u..
    리포트 | 4페이지 | 1,500원 | 등록일 2009.06.24
  • FPGA를 이용한 게임
    Verilog HDL, FPGA Board를 이용한 EZ2DJ 게임 프로그래밍
    리포트 | 3,000원 | 등록일 2010.05.26
  • 디지털 시계 회로 쿼터스 파일 포함(시계, 스탑워치, 알람, 윤달 기능 달력)
    ■ 디지털 시계의 기능● 입력■ CLK : 외부에서 제공하는 시스템 클럭으로 8MHz의 신호가 입력된다■ SW1 : 시계, 달력, 스탑워치, 알람의 모든 변경 기능■ SW2 : 각각 ... 또는 알람에서 오후일 때 LED에 점등● 입력 신호에 따른 동작SW1SW2SET시간 모드시계 모드∙초 설정모드초 증가분 설정모드분 증가시 설정모드시 증가달력 모드달력 모드∙일 설정모드일 ... 설정모드월 증가년 설정모드년 증가타이머 모드START/STOPRESET알람 모드분 설정 모드분 증가시 설정모드시 증가오전 오후 설정모드오전/오후 전환알람시간 출력모드∙● 디지털 시계
    리포트 | 13페이지 | 4,000원 | 등록일 2008.01.04
  • 디지털시계
    제14장 디지털 시계14-목 적- 동기식 카운터를 이용한 디지털 시계를 설계14.1 디지털 시계의 구성주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 ... 그런데 일상생활에서 쓰이는 시계를 보면 0시라고 표현하는 시계는 없으며 대신 12시로 표시한다. 따라서 12진 카운터의 값이 0일 경우 12시로 표시되도록 해야 할 필요가 있다. ... 이와 같은 디지털 시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자.
    리포트 | 10페이지 | 1,000원 | 등록일 2008.09.09
  • BCD 코드를 이용한 10진 가산기
    10 → 1010(바이너리) / 0001 0000 (BCD 코드) - 가능하면 십진법에 가까운 방법으로 처리하기 위해 사용 음수가 없이 양수만 존재하므로 덧셈만 가능 = 디지털 시계BCD ... II 초기화면Digital design May 6. 2008Chonnam Uiv Electronics윈도우 바 : Project name, File name 표시QUARTUS II ... test;Architecture선언2개의 입력하드웨어 블록 이름,entity 이름1개의 출력자료형 : bit (0, 1)Architecture 이름And 게이트 설계ALTERA QUARTUS
    리포트 | 33페이지 | 3,000원 | 등록일 2008.10.05
  • [디지털 시계]알테라(Altera) 를 이용한 디지털 시계 구현
    JK F/F을 사용하여 출력값 반전을 이용하여 시계의 멈춤 기능을 하게 된다. ... 그러므로 시계를 표현하기위한 최소한의 입력값으로는 4×6 BIT 의 입력값이 필요 하게 된다. ... 시계는 계속 가는 상태에서 POSITION, INC 입력단자로 세그먼트에 연결된 카운터에 값을 저장하게 된다.
    리포트 | 20페이지 | 1,000원 | 등록일 2005.11.28
  • Quartus2, 베릴로그를 이용한 캐릭터LCD 구동 보고서
    가. 목적? LCD를 이해한다.나. 이론A. LCD1. LCD 개요LCD 모듈은 디스플레이 장치의 하나로 간편하면서 손쉽게 쓸 수 있는 표시 장치로 기계와 사람과의 인터페이스에서 중요한 역할을 담당하고 있다.LCD는 산업체 등에서 가장 많이 채택되고 있고 편의상 디스플..
    리포트 | 24페이지 | 2,000원 | 등록일 2008.12.29 | 수정일 2015.06.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:05 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대