• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(72)
  • 리포트(72)

"stopwatch설계" 검색결과 21-40 / 72건

  • 중앙대학교 아날로그및디지털회로설계실습 설계실습 12. Stopwatch 설계 A+ 예비보고서
    회로를 설계할 수 있는 능력을 배양한다. 12-2. ... 실습목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet를 읽고 분석하는 능력과 원하는
    리포트 | 3페이지 | 1,000원 | 등록일 2022.09.15 | 수정일 2022.09.22
  • 중앙대학교 아날로그및디지털회로설계실습(3-2) A+ 12차예비보고서-Stopwatch 설계
    회로를 설계할 수 있는 능력을 배양한다.2. ... 실험 목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet 를 읽고 분석하는 능력과 원하는
    리포트 | 4페이지 | 1,000원 | 등록일 2021.10.06
  • [A+][예비레포트] 중앙대 아날로그 및 디지털 회로 설계실습 12. Stopwatch 설계
    신호를 만들어주었고 BCD 카운터 소자와 Decoder를 이용해 회로를 완성하였다. 2자리 숫자 표시 회로와 3자리 숫자 표시 회로는 BCD 10진 카운터, Decoder, 7-segment를 ... 능력과 원하는 회로를 설계할 수 있는 능력을 배양한다.설계실습계획서설계실습 방법에 나온 Stopwatch 제작 과정 중, 12-4-1 ~ 12-4-4 에 필요한 회로 결선도를 그리시오 ... Stopwatch 설계실습목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet를 읽고 분석하는
    리포트 | 1페이지 | 1,000원 | 등록일 2022.04.08
  • [A+]중앙대 아날로그및디지털회로설계실습 예비보고서12 Stopwatch 설계
    아날로그및디지털회로설계실습 05분반 14주차 예비보고서설계실습 12. Stopwatch 설계12-3
    리포트 | 1페이지 | 1,000원 | 등록일 2021.10.09
  • [A+]중앙대학교 아날로그및디지털회로설계실습 Stopwatch 설계 과제
    위 표를 보면, 16분주 회로가 16진수의 수를 어떻게 만들어 내는지, 이를 10진수의 카운터로 바꿀 수 있는 방법을 소개하고 있다. 자세히 보면, 10진수가 되려면 10이 되는 시점에서 0으로 수가 넘어가야 한다. 그래서 도표를 자세히 보면 4번 LED인 QD와 2번..
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.02
  • [A+]중앙대 아날로그및디지털회로설계실습 과제 동기순서 논리회로 (Stopwatch 설계) (15주차)
    아날로그 및 디지털 회로설계실습15주차 동기순서 논리회로 (Stopwatch 설계) 과제05분반 20161163 박성은1.- 16진 동기 카운터 회로도- 10진 동기 카운터 회로도-
    리포트 | 2페이지 | 1,000원 | 등록일 2021.10.09
  • [A+]중앙대학교 아날로그및디지털회로설계실습 Stopwatch 설계 예비보고서
    회로를 설계할 수 있는 능력을 배양한다. ... 10 진수 방식인 7 Segment LED로 바꾸어 주기 위해서는 Decoder가 필요하다. 0부터 9까지의 10개 숫자를 나타내기 위해서 4개의 입력 Bit이 필요하고 7개의 segment를 ... 실습목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet를 읽고 분석하는 능력과 원하는
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.02
  • 인하대 전자회로실험1, 전자공학실험1 INTERRUPT
    또한, 외부 인터럽트 방식에 비해 스위치나 커패시터 등 연결 해야할 소자들이 적어 설계 하기도 매우 쉬웠다.과제① External interrupt + Echo 동작코드 및 주석#define ... 스위치를 누르면 타이머 인터럽트가 종료되고 stopwatch 함수의 변수들인 t, s, m이 0으로 초기화 된다. ... (interruptPin2), a2, FALLING);}void loop() {delay(10);}void stopwatch(){t++; // 스탑워치 m:s:t로 시간 표시if(t
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.01
  • 실습 12. stopwatch 설계_예비보고서_중앙대_아날로그및디지털설계실습
    설계실습 계획서설계실습 방법에 나온 Stopwatch 제작 과정 중, 12-4-1 ~ 12-4-4 에 필요한 회로 결선도를 그리시오. ... 회로를 설계할 수 있는 기른다.12-2. ... 연결 BCD 카운터 출력 4bit 을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment 에 연결한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.08.13
  • [아날로그 및 디지털 회로 설계실습] 예비보고서12
    100uF2 개사용장비오실로스코프(Oscilloscope)1 대브레드보드 (Bread board)1 대함수발생기 (Function Generator)1 대파워서플라이 (Power supply ... 아날로그 및 디지털 회로설계실습(실습12 예비보고서)소속전자전기공학부담당교수수업 시간학번성명예비 보고서설계실습 12. ... 설계할 수 있는 능력을 배양한다.2.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.09.14
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    " https://nk "https://nymag.com/strategist/article/best-stopwatches.html" https://nymag.com/strategist ... /article/best-stopwatches.html - stopwatchfundamentals of logic design, Charles, Larry L Kinney 7th3. ... 그대로 발생하고, 그 다음부터는 01:00:00으로 초기화 되어서 나타나는 것으로 보인다.2)Algorithm 설명 및 이해이번 실습에서는 자일링스의 사용법을 바탕으로 clock을 설계해보았다
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 서울시립대학교(시립대) 전자전기컴퓨터설계실험2(전전설2) 파이널 프로젝트(Final Project)
    전자전기컴퓨터설계실험 2(최기상 교수님)파이널 프로젝트1. 설계 목표2. 코드 설명3. 작동 모습1. ... , stop_s_one, stop_ms_ten, stop_ms_one; // stopwatch setting ((ms_one == 9) && (ms_ten == 9))beginif ... 설계 목표● 초기 화면엔 학번과 영어 이름을 출력한다.● 첫 번째 기능으로 시간 설정할 수 있는 창을 만든다.● 두 번째 기능으로 설정한 시간을 적용한 디지털 시계를 만든다.● 세
    리포트 | 78페이지 | 1,500원 | 등록일 2023.11.12 | 수정일 2023.11.24
  • [화공단위조작실험 A+] 충전층 흐름의 압력 강하
    따라서 관이 최대한 꺾이지 않도록 손으로 잡고 있는 등의 조치를 취하였다.유량을 측정할 때 graduated cylinder에 물을 받는 사람과 stopwatch로 시간을 재는 사람이 ... 또한 공정설계 및 운전조건의 최적화를 위해 충전층을 지나는 유체 흐름의 유속과 충전층에 작용하는 압력 강하의 관계가 필요하다.보통 충전층 내에서 임의적으로 채워진 충전물 사이로 유체가 ... Results실험 결과Measurement유량평균유속압력차공극률Re충전층 흐름의 변화[m/s]시간 [s]유량[]1회15.48s32.299710.28133mmHg0.43081669.4125고정2회10.82s46.210714.70936mmHg2388.4032충전층
    리포트 | 25페이지 | 4,500원 | 등록일 2023.10.24 | 수정일 2023.10.25
  • 마이크로프로세서 설계 및 실습 타이머 스탑워치 구현 및 설명
    REPORT과 목 명 : 마이크로 프로세서 설계 및 실습담당교수 :학 과 : 전자공학부학 번 :이 름 :제출일자 :⊙.마이크로 프로세서 설계 및 실습 과제A (stop watch 구현 ... tact switch h P3_3○. stopwatch 구현에 사용할 소자들의 사진 및 특성(8051 소자)(8051 소자의 핀 이름 및 설명)(8051과 7segment간의 회로) ... - 4개의 FND를 모두 디스플레이하여 4자리 stopwatch를 만든다.- FND 3,2번은 초단위를 00~99초까지 디스플레이- FND 1,0번은 1/100초단위를 00~99까지
    리포트 | 10페이지 | 5,000원 | 등록일 2016.05.18 | 수정일 2021.05.13
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    순차회로에서 수행한 내용들이 stopwatch를 구현하는데 많이 사용되었으며 카운터 설계, finite state machine 설계 등이 있었다. ... 그리고 초기 state를 p0(스위치를 누르지 않은 상태)와 stop(멈춤 상태로 첫 구동)으로 설정하여 줌으로써 선언부를 설계하였다.채터링 방지 스위치의 작동과 그에 따른 stopwatch ... 하지만 clk_dc의 주파수가 매우 높기 때문에 사람의 눈에는 동시에 켜지는 것처럼 인지하게 된다.조원2의 고찰RoV-Lab3000를 사용하여 stopwatch 기능을 하도록 설계
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • 컴퓨터구조 설계 프로젝트 DashWatch
    컴퓨터구조 설계 프로젝트제목 : Design of DashWatch or Handheld PIG Game설계 이론 : DashWatch1. write a detailed system ... specification.- times intervals ≤ 99.99 sec.- acts stopwatch- permits the best performance (least time ... ) to be stored in a register- the front of stopwatch : Fig.7-23(a)INPUT- START button : causes a timer
    리포트 | 16페이지 | 1,000원 | 등록일 2014.07.09
  • VHDL STOPWATCH 설계보고서, QUARTUS STOPWATCH 설계보고서
    VHDL 이용한 STOPWATCH 설계 과제1.설계 목적-VHDL사용법과 VHDL의 코드구조인 계층구조를 익히고 설계해본다.2.설계과정≪ VHDL 소스코드를 계층 구조로 표현하기 위한 ... 0);mmsec22,sec11,sec22,min11,min22 : in std_logic_vector(3 downto 0));END stopwatch;ARCHITECTURE stopwatch_arch ... : mmsec3 SUBMODEL : secmin4 SUBMODEL : alarm4 TESTBENCH : stopwatch_TB①.
    리포트 | 16페이지 | 5,000원 | 등록일 2014.02.28
  • verilog-스탑워치(stopwatch)A+자료 코드및 레포트
    내용 : start 신호를 입력하면 시간이 올라가고 stop 신호를 입력하면 시간이 멈추고 reset 신호를 입력하면 모든 숫자가 0으로 되도록 설계 한다. ... 내용 : start 신호를 입력하면 시간이 올라가고 stop 신호를 입력하면 시간이 멈추고 reset 신호를 입력하면 모든 숫자가 0으로 되도록 설계 한다. ... 목표 : Verilog HDL을 이용하여 스톱워치를 설계 한다.
    리포트 | 6페이지 | 9,000원 | 등록일 2019.08.24 | 수정일 2023.11.02
  • VHDL VLSI SOC 설계stop watch
    => tb_Q6);tb_ss ... ;architecture tb_behave of tb_segconnect iscomponent segconnectport(ss, rst, clk : in std_logic;Q1 : ... library ieee;use ieee.std_logic_1164. all;use ieee.std_logic_unsigned. all;use ieee.std_logic_arith.all
    리포트 | 13페이지 | 1,000원 | 등록일 2018.11.18
  • 관 내에서의 유체의 유동손실 , Head loss 측정
    We can measure flow rate using stopwatch and head loss by seeing manometer. ... 위의 계수들은 관을 설계할 때 사용되는 값들이다. ... measured values of pipe elbow.···9Table 5 Loss coefficient and some measure values of cross-section
    리포트 | 17페이지 | 2,000원 | 등록일 2019.07.18
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:03 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대