• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(72)
  • 리포트(72)

"stopwatch설계" 검색결과 61-72 / 72건

  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    = time_sec; elsif mode = 01 then -- stopwatch 표시 ---mode1 hour_out = st_min; -- stopwatch 모드를 st로 표시 ... ment스탑 워치 모드sw1 Sw2 sw3 clk내부구조설계 및 구현방법알람 모드알람 설정sw0시간 조절 버튼sw2sw1sw1sw0sw3sw1sw0알람 on/off 기능알 람 부 ... 분스탑워치 reset기능스탑워치기능의 시작과 정지스탑워치 부분시간 reset시간 자리이동시간 setting시 계 부 분스위치 동작기능구 분설계 및 구현방법각 부분의 동작원리 시계의
    리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • 디지털 공학 설계프로젝트 팀별 최종 발표 PPT
    std_logic_vector (1 downto 0); // mode1이 stopwatch모드 MODE2 : in std_logic_vector (1 downto 0); 일때5 downto ... ) 등으로 인하여 정상적인 구동이 되지 않아 동작적 표현방식으로 변경하여 설계를 실시하였습니다.■ 설계 변경사항■ 문제점구조적 표현을 하지 못하여 전체적인 코드의 길이가 길어짐.■ ... 고찰최초 설계하고자 했던 구조적 표현 방식으로 설계 하지는 못했지만 계획하였던 디지털 시계의 기능을 모두 구현하는데 성공하여 DHL 구술언어 및 디지털 시스템의 기능과 구조 등에 대하여
    리포트 | 9페이지 | 1,000원 | 등록일 2010.10.23
  • 학습과행동의 이해
    찰이론의 정교화이론의 발전실험 연구의 한계실험의 장점 변인의 통제 실험의 단점 인위적인 세계의 형성 실험조건의 생태학적 합리성(non-ecological context) 책상, 의자, stopwatch ... 변화 예: 성의 차이점 (남성 vs 여성) 피험자내 (within-subjects) 설계 독립변인의 모든 조건에 동일 피험자의 참여 A-B-A 설계 참가자가 기저선 조건을 먼저 경험하고 ... (Experimental Design)피험자간 (between-subjects) 설계 두 참가집단 실험집단(experimental group) 통제집단(control group) 독립변인의
    리포트 | 32페이지 | 2,000원 | 등록일 2010.03.11
  • 예비보고서-Exp 3. Digital Design Using Microcontroller (1st week).hwp
    8051-based binary codes using KEIL uVisionII compiler. - Design and implement stopwatch with this board ... 상태 유지⑸ RD : 8255A에서 데이터를 읽기 위한 제어 신호⑹ WR : 8255A로 데이터 및 명령을21의 제어에 의해 세븐 세그먼트의 그라운드(GND) 단자가 스위칭되도록 설계되어 ... 각각의 interrupt source들은 Interrupt Priority register(IP)와 Interrupt Priority High Register에서 bit를 set
    리포트 | 7페이지 | 2,000원 | 등록일 2010.10.11
  • [디지털시스템]디지털 시계의 VHDL Code 구현 및 설명 (스톱위치, 시간세팅, 시간) & MAX PLUS 2 사용법 설명,
    -- 2]botton to return the stopwatch_mode of clear at any stopwatch condition state_flickering : out ... DIGITAL WATCH SYSTEM 설계 - DIGITAL WATCH SYSTEM 의 설명 및 상태도 설명. ... ,stop in the stopwatch_mode botton_function2 : in std_logic; -- 1]botton to increase
    리포트 | 23페이지 | 1,500원 | 등록일 2006.01.13
  • 레이놀드 수 실험
    부속품비 이 커 : 1000cc색 소 액 : 100cc호 수 : 19A-10m초 시 계(stopwatch)수온 측정 온도계4. ... 고안되었으며, 원 관속의 유체 흐름 상태를 층류 혹은 난류를 임의적으로 발생시켜 가시화하여 이때 정된 속도, 유량의 값을 이용하여 유체의 층류, 난류 흐름 상태를 파악하기 위해 설계 ... 즉,여기서, Q = 유량 [m/sec]A = 관의 단면적= 평균 유속 [m/s]D = 관의 내부 직경 [m]보통 관내를 유체가 흐를 경우에는 유속이 일정하지 않으며 관벽 부근에서는
    리포트 | 10페이지 | 1,000원 | 등록일 2011.04.24
  • 디지털 논리회로이용한 시계설계
    사용소자 DataSheet1.2.(1)(2)(3)(4)(5)동작이론분주기설계카운터설계시계 및 시 조정 회로 설계Stopwatch 설계시간표시 디코더 설계3.Pspice전체회로도4.사용소자 ... 앞에서 설계한 카운터를 이용하여 시 계를 설계하며 시간을 조정할수 있는 시조정회로를 설계하여야 한다.키트에 세크먼트가 8개가 있으므로 시간을 표현하는 시, 분, 초 각 자리수 단위까지 ... 동작이론(1) 분주기 설계우리가 사용하는 키트는 가장 낮은 클럭으로 조정하여도 100HZ로서 디지털 시계를 설계에 쓰기에는 너무 빠른 클럭이다.
    리포트 | 16페이지 | 2,500원 | 등록일 2008.12.02
  • [작업관리] 작업관리 실험 안정도 검사기
    미로형 검사기의 두 번째 칸에서 오른쪽 끝까지 이동하는 동시에 stopwatch는 stop button을 누른다. stopwatch에 표시된 시간을 체크한다. error 수 확인을 ... 안정도 검사기 error 확인 button 을 000으로 맞춰 둔다. stopwatch 를 00.00으로 맞춰둔다. start button을 누르는 동시에 피실험자는 실험을 시작한다 ... 제작기술·관리기술·설계개량, 그 밖의 갖가지 영향이 종합된 것이다. 대체로 작업 가운데 수작업시간이 많으면 저감률은 크고, 기계 가공시간이 많으면 작은 경향이 있다.
    리포트 | 14페이지 | 1,500원 | 등록일 2004.11.19
  • [소프트웨어공학] 비디오 관리 시스템 설계
    = picGreenEnd Ifstopwatch = Not stopwatch내부자료구조Dim stopwatch As BooleanDim cabinet As Integer오류메세지사용하는 ... {모듈이름tmrBlink_Timer모 듈 형Sub알고리즘If stopwatch ThenpctCabinet(cabinet) = picPinkElsepctCabinet(cabinet) ... 최대한 사용자의 입장에서 설계한다.1.5 참조된 개발 문서프로젝트[S/W] 개발 계획서(2002.11.09 보고)프로젝트 요구 분석 명세서(2002.11.16 보고)프로젝트 설 계
    리포트 | 23페이지 | 2,000원 | 등록일 2003.07.03
  • [공학실험] deep bed filtation (공학실험)
    원수를 column에 통과시킬 때의 head loss를 piezometer를 이용하여 측정하고, headl유출량이 충분히 안정화되어 column의 수위가 기준선에 머물도록 한다.6) stopwatch를 ... 변수가 된다.유입하수특성 :부유물질의 농도, 입자의 크기와 분포, 플록의 강도, 플록이나 입자의 전하와 액체의 성질등이 주요 요소이며, 어느 한도까지는 설계자에 의한 조절이 가능하다 ... 준다.여재의 공극율:여과지내에 저장될 수 있는 고형물의 양을 결정한다.여재의 깊이 :손실수두와 여과지속 시간에 영향을 준다.여과속도 :역세척후 손실수두 계산시 사용된다.손실수두 :설계시의
    리포트 | 12페이지 | 1,500원 | 등록일 2003.12.20
  • 교육 가능한 정신 지체 아동들에게 Regrouping이 있는 덧셈, 뺄셈의 교수방법
    number line) - 0-30까지 같은 간격의 검은 점으로 표시(60 5cm)OHP, 시간개념 문제지(시감 말하는 기술을 가르치기 위한 문제지), transparencies, stopwatch ... Design·3집단간 중다기초선 설계법·기초선 기간 이후에 regrouping을 요하는 덧셈문제를 위한 자기 교수 훈련 첫 번째 그룹 에 도입 현재의 그룹 안에서 3명의 아이중 적어도 ... , 주방 timer, 연필, 3개의 녹음기, 테잎, tie clip 마이 크로폰,강화물 < 별, star chart, 음식물(granola씨리얼), 원형배지(good worker)
    리포트 | 6페이지 | 1,000원 | 등록일 2004.01.24
  • [대기 보고서] 대기 MFC 보고서
    bubble meter가 제작, 판매되고 있다.측정하고자 하는 기체의 체적은 튜브 속을 상승하는 비눗물의 거품이 지시하는 젖은 튜브 내벽의 눈금에 의해 측정되면 비누방울의 상승속도는 stopwatch로 ... 또는 체적을 측정하여 보정한다.Bubble meter는 정(+)의 압력(positive pressure)으로도 부(-)의 압력(negative pressure)으 로도 조작되도록 설계 ... } over {min}= 10cc×{{1} over {48.46sec}×{{60sec} over {min}= 12.38cc/minV2 = V1×{{P1} over {P2}×{{T2}
    리포트 | 6페이지 | 1,000원 | 등록일 2001.11.18
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:48 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대