• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(72)
  • 리포트(72)

"stopwatch설계" 검색결과 41-60 / 72건

  • Stopwatch 설계
    목적 stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 datasheet를 읽고 분석하는 능력과 원하는 ... 회로를 설계할 수 있는 능력을 배양한다. 2.
    리포트 | 6페이지 | 1,000원 | 등록일 2010.03.12
  • 아주대학교 논리회로 실험 설계 예비보고서
    동작 설명 및 알고리즘FPGA를 이용하여 stopwatch설계한다. Clock은 50Mhz를 사용한다. ... Board 상에 있는 Key 0, 1을 stopwatch의 기본 switch로 사용한다. 4개의 dip switch와 8개의 LED를 사용하여 추가기능을 구성한다. ... Switch0 START/STOP은 입력받을 때 마다 최초 Clock 입력을 조절할 수 있도록, 즉 stopwatch 회로 전체의 Clock을 시작하거나/멈출 수 있도록 할 필요가
    리포트 | 10페이지 | 2,000원 | 등록일 2016.06.14
  • VHDL 디지털 시계
    대하여 공부한다.- watch 모드와 stopwatch 모드의 동작 설명 -●설명기본적인 디지털 시계를 설계하고 이를 이용한 시간 조정이 가능한 control circuits 블록을 ... 설계하도록 한다. ... 디지털시계의 블록 다이아그램은 stopwatch의 블록 다이아그램과 대동소이하다. 회로적으로 차이가 나는 곳은 제어 회로 블록일 것이다.
    리포트 | 21페이지 | 2,000원 | 등록일 2015.10.16
  • clock & stopwatch 프로그램 소스 및 파형분석(verilog 를 이용한)
    실제 시간과 똑같이 설계 하였다.∎ watch_clock (기본적인 시계) module (1)module watch_clock(clk, rst, hour1, min2, min1 ... else sec_set ... , sec2, sec1);input clk, rst;output [3:0] sec1,min1,hour1;output [2:0] sec2,min2;reg [6:0] sec_set
    리포트 | 21페이지 | 2,500원 | 등록일 2010.06.28
  • 논리회로 설계-Stop watch설계
    Lab 2-2 논리회로설계 - 스톱워치 설계(1) 그림 3-1의 스톱워치부의 각 블록을 논리설계한다.(2) MyLogic을 이용하여 설계한 각 블록의 schematic을 완성한다.· ... MOD 10그림 MOD 10 회로· MOD 6그림 모드 6 회로(3) 설계한 각 블록별로 시뮬레이션하여 설계를 검증한다.· MOD 10 실뮬레이션그림 MOD 10 실뮬레이션0-9까지 ... 전체 논리회로에 대해 MySim으로 실뮬레이션하여 설계를 검증한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2008.12.03
  • 논리회로설계실험 프로젝트 7 segment 스탑워치
    S1에서 스위치를 때면 입력은 0이되고 상태는 S0으로 바뀐다.3) Schematic설계한 stopwatch와 debouncing 코드를 schematic 방법으로 함께 동작하게 하였다.각 ... debouncing 회로● clock => stopwatch 몸체2) 핀 할당(2) 설계 방법1) Stopwatch스탑워치 코드의 작동방식은 다음과 같다. ... 논리회로설계 설계 보고서 #21.
    리포트 | 15페이지 | 3,000원 | 등록일 2015.04.17 | 수정일 2016.03.26
  • 스톱워치(stop watch) 설계 프로젝트
    최종 설계도5. 설계 결과 및 고찰설계에서 제시된 조건은 start/stop버튼과 reset/lap버튼의 조합으로 다양한 기능을 구현 하는 것이었다. ... 설계 목표: FPGA를 사용하여 스톱워치를 설계한다.- 입력 : start/stop, rap/reset 버튼 2개로 구성- 출력 : 7segment 5개를 사용하여 분, 초, 초/10 ... 설계 제안Clockstart/ stopreset/ lapLatchMUX7segment7segmentVccsecond/ 10secondminute1) FPGA 내부에서 클럭을 발생시킨
    리포트 | 7페이지 | 5,000원 | 등록일 2013.12.26 | 수정일 2020.12.14
  • 서울시립대학교 전자전기컴퓨터설계실험2 제12주 Project
    Alarm과 World Clock의 정상 동작을 확인하였다.AlarmAlarm을 stopwatch와 비슷한 원리를 사용하는 알고리즘으로 구현하였다.Alarm의 정상 동작을 확인하였다.World ... Project Report전자전기컴퓨터설계실험ⅡDigital Watch실험 날짜2016. 12.12학번이름Professor조교BackgroundBits Information of LCDLCD ... 편리함을 더하기 위해 One shot enable로 구현하였다. 24시까지 올라가면 자동적으로 00시 00분 00초로 변경된다.button switch 2를 누를 경우, 분(minute
    리포트 | 16페이지 | 3,000원 | 등록일 2017.09.04
  • 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    설계 기능① start 기능 : 버튼을 한 번 누르면 타이머가 시작되는 작동을 한다.② stop 기능 : 버튼을 한 번 누르면 타이머가 정지하는 작동을 하고, 그 순간 일시 정지한 ... 설계 목적- FPGA를 이용하여 Stop Watch를 구현해보는 것이다. ... 설계 요소* 입력 : Start/Stop, Lap/Reset 버튼 2개로 구성* 출력 : 7segment 5개를 사용하여 분, 초, 0.1초를 구현1) FPGA 내부에서 클럭을 발생시킨
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • [전기전자기초실험]8장 - 조합 회로 설계 실험 [예비&결과]
    이 Delay 값 중 제일 큰 값 보다 주기가 커야 제대로 동작한다고 할 수 있다. 즉 최대 Delay 의 역수인 값이 최대 동작 주파수가 되고 그 주파수보다 작을 때만이 이 디코더가 제대로 작동할 수 있는 것이다. 이 delay 중 제일 큰 값은 47.9 (ns) 가..
    리포트 | 13페이지 | 1,000원 | 등록일 2010.12.08
  • 디지털시계와 stop watch
    **디지털 시계와 stopwatch 소스 **library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL ... iscomponent debounce_sw isport( clk : in std_logic;reset : in std_logic;sw1_in : in std_logic;sw1_out ... : std_logic;signal sel : integer range 1 to 6;signal seg1, seg2, seg3 : std_logic_vector(6 downto 0);
    리포트 | 11페이지 | 2,000원 | 등록일 2013.12.18
  • HDL을 사용한 디지털 클럭 코드
    ·시간이 증가하는 코딩이 완료되면, 각 조건(alarm, stopwatch)에 맞춰, 알람이 울리거나 시 간이 멈추는 등의 코딩을 추가한다. ... ,mins,secs)으로 정했던 시간부터 동작하게 된다. ... , 스톱워치 총 3가지를 각각의 특성에 맞게 설계한다.
    리포트 | 20페이지 | 2,500원 | 등록일 2013.01.20
  • [Flowrian] 디지털 시계 회로의 Verilog 설계 및 시뮬레이션 검증
    : 스톱워치 제어용 유한상태머신 - alarm : 알람 관련 동작을 구현하는 모듈- timerun : 현재 시간 관련 동작을 구현하는 모듈- stopwatch : 스톱워치 관련 동작을 ... 구현하는 모듈- digiwatch : 디지털 시계의 최상위 모듈Verilog 언어를 이용하여 디지털 응용 회로의 고급 설계를 배우려는 분에게 도움이 된다. ... 디지털 시계를 구성하는 모듈들을 Verilog 언어로 설계하고 시뮬레이션으로 검증한다.- mux4b : 4 비트 3 입력 멀티플렉서 - comparetime : 현재 시간과 알람 시간
    리포트 | 74페이지 | 4,000원 | 등록일 2011.09.17
  • 카이스트 전자공학실험2 실험3 Digital Design Using Microcontroller (1st week) 결과보고서
    Demonstration1) Problem(A) 7-SegmentImplement the stopwatch. ... What are the factors that disturb the accuracy of the stopwatch? ... Consideration1) How precise do you think the implemented stopwatch is?
    리포트 | 15페이지 | 2,500원 | 등록일 2011.11.06
  • vhdl 디지털 탁상 시계 설계
    소스리스트1) clk_div.vhd2) debounce.vhd3) modestatus.vhd4) setalarm.vhd5) settime.vhd6) stopwatch.vhd7) time.vhd8 ... - 알람설정5) settime.vhd - 시간 설정6) stopwatch.vhd - 시간 업 카운트7) time.vhd - 현재시각 표시8) vhdlclock.vhd - top level소스리스트동작설명1 ... (무선 전송기능 추가)유인물발표자료부품목록● 만능기판 1, pole 4 set, 커넥터 3, dual pin header 3,linear socket, led 5, 7-segment
    리포트 | 34페이지 | 3,000원 | 등록일 2010.12.30
  • Atmega128 스탑워치 자료 (코드 및 자세한 설명 첨부) A+ 받은 자료
    임베디드 시스템 설계 보고서제목1Hz의 신호로 LED On/Off & Stopwatch 제어학과전자공학과학번2000000성명홍길동제출일2014.11.04.
    리포트 | 7페이지 | 3,000원 | 등록일 2015.01.27
  • [마이크로프로세서] Timer Interrupt 를 사용하여 시계 디스플레이 (설계)
    구현- 회로 구성 등- 4개의 FND를 모두 디스플레이하여 4자리 stopwatch를 만든다.- FND 3번은 분단위를 0~9까지 디스플레이- FND 2번은 10초단위를 0~6까지 ... 고찰이번 설계는 Interrupt, Timer/Counter 를 이용하여 설계 A를 정확한 시계로 구현하였는데 수업시간에 배웠지만 인터럽트와 타이머에 정확한 이해가 부족하여 설계에 ... 개 요-설계 목표- 이전 설계 A에서 했던 과정을 Timer, Interrupt를 사용하여 정확하게 만드시오- SW는 2개 사용, 각각은 external interrupt를 사용-주요
    리포트 | 6페이지 | 1,500원 | 등록일 2010.12.14
  • 충북대 전기전자공학 디지털실험 19장 예비보고서
    실험 19. stopwatch 설계목 적1. stopwatch의 기능과 구조를 이해한다.2. stopwatch에 들어가는 Block 설계를 한다.3. stopwatch의 동작을 확인한다.원 ... 된다. counter block설계에 필요한 카운터블록 이름출력 이름구성될 카운터 종류stopwatch1/10초10진 카운터초10진 카운터10초6진 카운터분10진 카운터2. ... 설계(1) counter부 설계counter는 counter부는 1/10초(sec tenths), 1초(sec ones), 10초(sec tens), 1분 (min ones)부로 구성되며
    리포트 | 5페이지 | 1,000원 | 등록일 2008.02.18
  • 8051 microcontroller를 이용한 7-segment stop watch구현
    Problem statement (A) 7-SegmentImplement the stopwatch. ... (B) LEDDuring stopwatch operates, 8 LED modules present the number of 7 segment LED as binary number. ... second’.
    리포트 | 9페이지 | 8,000원 | 등록일 2009.12.23
  • 출혈시간 측정 혈병수축능측정 BT
    혈액이 자연히 나오기 시작하면 stopwatch를 누르고 30초마다 filter paper를 가볍게 접촉시킨다.? ... 혈반점이 점점 작아져서 완전히 지혈될 때 stopwatch 작동을 중지시켜 시간을 측정한다.(혈반점의 수에 의해서도 출혈시간을 알 수가 있다).③ 참고치? ... 누르고 30초마다 filter paper를 가볍게 접촉시킨다.④혈반점이 점점 작아져서 완전히 지혈될 때 stopwatch 작동을 중지시켜 시간을 측정한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2009.06.15
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:58 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대