• 통큰쿠폰이벤트-통합
  • 통합검색(544)
  • 리포트(516)
  • 시험자료(19)
  • 자기소개서(4)
  • 방송통신대(4)
  • 논문(1)

"8*1 mux" 검색결과 381-400 / 544건

  • VHDL mux와 demux를 signal을 이용하여 연결한 소스 및 파형
    1. signal, variable, Constant의 특징1) signalsignal은 VHDL 합성시에 선(wire)으로 구현되며, 각 부품(component)의 연결에 사용되는
    리포트 | 3페이지 | 1,000원 | 등록일 2007.05.14
  • 카이스트 전자공학실험3 실험4 Time-base 결과보고서
    회로도로 구현이 가능하지만, propagation delay등의 단점(크지 않다.)등을 보완하기 위한 회로를 직접 설계해보았다. /4, /8, …, /40k block들 및 MUX ... 위 회로도의 출력인 pulse exClk이 들어올 때 마다 clk을 1번씩 반전시켜줄 것인지를 결정하는 값이다. divider 값은 4, 8, 16, 40으로 각각 정의하고, divider2 ... * 45 ms/Div100 us50 ms/4k10 kHz500us * 1010 ms/Div200 us100 ms/8k5 kHz5ms * 220 ms/Div400 us200 ms/16k2.5
    리포트 | 14페이지 | 2,500원 | 등록일 2011.11.06
  • 조합논리회로 실험 이론정리(10주차)
    이런 멀티플렉서를 2nX1 멀티플렉서라고 하며, 줄여서 MUX라 하기도 한다. 다음의 그림은 4X1 멀티플렉서의 진리표이다. ... 다음으로는 3X8 디코더이다. 3개의 2진 입력 값을 10진수로 변환하여 출력 값을 가지는 회로이다. ... 짝수 패리티는 1의 개수가 홀수 일 때 1을 나타내고 홀수 패리는 1의 개수가 짝수 일 때 1을 나타내는 것이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2013.06.09
  • 컴퓨터 시스템 구조 2장 연습문제(Computer System Architecture)
    이용하여 전체 블럭도를 그려라.8*1MUX8*1MUX2*1MUX2-8이중의(dual)4*1멀티플렉서에 대한 블럭도를 그리고, 함수표를 이용하여 그 동작을 설명하여라.2-14링 카운터는 ... *8decoder3*8decoder3*8decoder2-4.인에이블 입력을 가지고 있고, NOR게이트로만 구성된 2*4디코더의 논리도를 그려라.E A1 A0D0 D1 D2 D31 0 ... 01 0 11 1 01 1 10 X X1 0 0 00 1 0 00 0 1 00 0 0 10 0 0 02-6표 2-2에 주어진 바와 같은 8입력, 3출력 인코더의 논리도를 그려라.
    리포트 | 3페이지 | 1,500원 | 등록일 2006.11.29
  • 디지털 함수발생기 설계
    x 1소 켓( 8핀)20원 x 2LM555CM(타이머)450원 x 1소 켓(14핀)28원 x 15양면기판5,000원소 켓(16핀)30원 x 4커패시터12원(10nFx2, 4.7nFx2 ... MUX)180원 x 25.6K174LS161(4bit conter)200원 x 115K174LS76A(JK-F/F)240원 x 1가변저항200원 x 2TL072CP(OPA)185원 ... 설계에 쓰이는 각 부품의 이름과 내부 회로도를 표 8에 나타내었다.표 8.
    리포트 | 28페이지 | 3,000원 | 등록일 2008.12.15
  • AGC(Auto gain control) 자동이득 조절기
    2, 4, 8 dB의 손실을 갖는 4개의 감쇠기로 구성하면, 이 4가지 값을 조합함으로써 1~15 dB까지 1 dB스텝으로 가변이 가능하게 된다. ... 5.7)(식 5.8)(식 5.9)표 5.1 π형, T형 감쇠량 수식5.3 가변 감쇠기가변형 감쇠기의 경우 이득 조정회로를 구현하기 위해 사용된다. ... 이러한 샘플링 때문에 전체 변환시간이 길어지며, 멀티 채널형 A/D Converter의 경우에는 Analog Mux가 사용되므로 이것의 동작 및 안정시간으로 인하여 전체적인 변환시간은
    리포트 | 45페이지 | 10,000원 | 등록일 2011.12.01
  • Quartus 툴을 이용하여 verilog로 가감산기.간단한 ALU 구현하기
    있던 혹은 8비트로 설계되어있던 mux2to1을 multiplexer라는 이름과 함께 16비트로 mux를 설계하는 부분.selectR 신호와 Areg신호, Z신호를 입력으로 하고 ... H=Breg ^ {n{AddSubR}};//wire H는Breg값과 n개의AddSubR 값이 xor연산.mux2to1 multiplexer (Areg, Z, SelR, G);/*기존에 ... */adderk nbit_adder(AddSubR, G, H, M, carryout);/*AddSub신호에 의해 +/- 연산이 결정되고, mux로부터 들어오는 wire G와 wire
    리포트 | 18페이지 | 9,000원 | 등록일 2007.12.09
  • 제 8장 (예비) 조합 회로 설계 실험.hwp
    2×1 먹스는 2개의 input과 1개의 output이 있는 회로이다. input이 2개 이므로 select는 1개이다.2×1 MUX 블록 다이어그램- 4×1 멀티플렉서? ... 4×1 먹스는 4개의 input과 1개의 output이 있는 회로이다. input이 4개이므로 select는 2개이다.4×1 MUX 블록 다이어그램② 인코더와 멀티플렉서의 차이점을 ... 0 11 1 01 1 1000000000000000010000100001000013×8 디코더의 진리표이 디코더의 출력값은 1이 항상 한 개밖에 없으므로 더 이상 간소화가 불가능하며
    리포트 | 5페이지 | 1,500원 | 등록일 2007.10.11
  • 데이터통신과 네트워킹4rd 17장 리포트
    ☞ STS-9 4개를 STS-1로 DEMUX 시킨 후에 STS-1 36개를 STS MUX하여 STS-36으로 만든다. ... X 8 = 445.824 MbpsSTS-12 : 8000 X (9 X 12 X 86) X 8 = 594.432 Mbps12. ... SONET 재생기는 현재들어있는 오버헤드 정보의 일부를 새 정보로 대치한다.8. 네 개의 SONET 층은 무엇인가?
    리포트 | 5페이지 | 1,000원 | 등록일 2010.07.05
  • [공학기술]Verilog simulator를 이용한 MIPS single-cycle processor 설계
    , 5'd2, 16'd4 }; // lw $2, 4($0) r2=[1]=15'd1 : data_out = { 6'd35, 5'd0, 5'd3, 16'd8 }; // lw $3, 8( ... PCMUX(PCSrc, pc_incr, b_tgt, pc_dst);// BranchNe 목적지 주소와 pc주소를 선택하기 위한 MUX모듈을 추가합니다.mux2 #(32) R_PCMUX ... (R_PCSrc, pc_dst, b_tgt, pc_next);// r_pc_src 와 j_address를 선택하기 위한 MUX모듈을 추가합니다.mux2 #(32) F_PCMUX(Jump
    리포트 | 18페이지 | 1,000원 | 등록일 2007.06.06
  • 무선랜보안(wlan security overview)
    8 S-box, XOR6, XOR5, XOR2, MUX2Performance 200 MHz Pentium Pro: 284 cycles/blockMotivatiocret keys should ... individual bytes Uses 128-, 192-, or 256-bit keys Highly parallelizableCritical path instructions: 8 ... ReferencesUp to 54 MbpsOFDM (5 GHz)IEEE 802.11a (1999)5.5 or 11 MbpsDSSS (2.4 GHz)IEEE 802.11b ('98-'99)1
    리포트 | 29페이지 | 1,000원 | 등록일 2010.11.16
  • [마이크로컴퓨터]마이크로컴퓨터시스템 4비트 ALU의 디자인
    따라서 전체에서 사용된 IC 소자는 다음과 같다.I C 명 칭I C 번 호수 량비 고NAND740012AL 4개, LU 8개Dual Full Adder741832Dual 4-to-1 ... ◎ 4 Bit ALU ◎C0 S2 (ENCODER)ENCODER S1SO2 TO 1A0 MUX F0FA 4 TO 1MUXBOA1FA2 TO 14 TO 1 MUX F1B1 MUXA2FAB22 ... TO 14 TO 1 MUX F2A3 MUXFAB34 TO 12 TO 1 F3MUX MUXC0위의 그림에서 4-to-1 MUX에 입력으로 들어가는 게이트들을 다음과 같이 바꿀 수 있다.AiBiFA위의
    리포트 | 3페이지 | 1,000원 | 등록일 2006.04.08
  • Stereophonic Frequency Modulation에 관한 통신실험 결과 보고서
    Using the 1Minput, connect the Spectrum Analyzer and calibrate it at 38kHz.What distance separates the ... inputand Channel B for Right input.Using the oscilloscope, observe the signal at the BASEBAND OUTPUT(MUX ... 결과적으로 위의 그림과 같이 그라운드 상태를 보인다.8.
    리포트 | 10페이지 | 1,000원 | 등록일 2010.11.30
  • 디지털논리회로실습 - 제 8장 멀티플렉서와 디멀티플렉서
    디지털회로실험예비 보고서(제 8장 멀티플렉서와 디멀티플렉서)학과학번성명1조컴퓨터공학과20040244김선습안현태안정민김성훈제 8장 Multiplexer와 Demultiplexer1. ... 간단한 멀티플렉서의 예로써① 2× 1 Line Multiplexer의 블록도 ② 4× 1 Line Multiplexer의 블록도4× 1MUX2× 1MUXA AY BC YB DS S0 ... 실험 과정, 회로도 및 타이밍 다이어그램그리고 예비실험 및 조사2.1 멀티플렉서(Multiplexer)멀티플렉서(MUX : Multiplexer)는 여러 개의 입력신호가 단일 회선을
    리포트 | 10페이지 | 1,500원 | 등록일 2008.12.08
  • 논리회로
    이번 실험으로 Mux와 Decoder, 래치를 배웠는데 이들을 더 심화적으로 익히기에는 이번실험으로 좀 무리가 있는 것 같고 기본 동작만 익힌것에 만족한다. ... 진리표디코더 [Decoder] (74HC138)74HC138은 고속 Si-gate CMOS 장치이며, 저전력 Schottky TTL과 호환성이 있다. 74HC138은 3개의 입력을 받아서 8개의 ... 단자에 1Y, 2Y, 3Y, 4Y를 연결한다.4) 진리표 대로 Input 단자를 작동하며 동작을 확인한다.5) Input 단자에서 1A, 1B 연결했던 것을 2A, 2B 그리고 3A
    리포트 | 8페이지 | 1,000원 | 등록일 2010.10.09 | 수정일 2017.04.26
  • Lab-8. ADC/DAC 준비보고서
    마이크로 프로세서실험 및 설계준비 보고서Lab-8. ADC/DAC1. ATmeg128의 ADC 기능에 대해 설명하라.AVR ADC의 Charicteristic? ... ADC 입력채널설정 (MUX 4~0)???? ??? ADC 동작모드설정 (ADFR)???? ??? ADC 변환 완료 인터럽트 활성화 (ADIE)?? ... 8 Multiplexed Single Ended Input Channels? 7 Differential Input Channels?
    리포트 | 10페이지 | 1,000원 | 등록일 2010.06.24
  • 4개의 입력과 1개의 출력을 가지는 회로를 and, or, not, nand, MUX, decoder를 이용한 설계
    회로도(4) Multiplexer 회로2 X 1 MUX만을 이용한 회로이다.SBDABCDCC011DD0C4. 회로도5. ... 논리식과 진리표디코더의 논리식입력(Input)출력(Output)ABCDF1F2F3F4F5F6F7F8F9F10F11F12F13F14F15F1600001000000000000000000101000000000000000010001000000000000000110001000000000000010000001000000000000101000001000000000001100000001000000000011100000001000000001000000000001000000010010000000001000000101000000000001000001011000000000001000011000000000000001000110100000000000001001110000000000000001011110000000000000001디코더의 ... 입력이 0이면 1을 출력하고, 1이면 0을 출력한다.2. 이론1.
    리포트 | 20페이지 | 5,000원 | 등록일 2010.06.24
  • Labview 측정 프로그래밍
    이를 통해 프로그래밍을 짠 후 Physical channels에서 Dev 1/Ai 8을 선택해 주어야 한다. ... 결론먼저 Measurement&Automation 프로그램을 통해 우리 조의 기판의 특성을 알아보았다 우리판의 특성은 Dev 1, AI 8, AI GND이다. ... DAQ의 부속장치- Muliplexer(MUX) : 여러 신호를 하나씩 선택하여 차례로 입력 장치로 넣어주는 장치- 저주파 필터 : 잡음 등 높은 주파수의 신호를 차단, 낮은 주파수의
    리포트 | 9페이지 | 1,500원 | 등록일 2010.04.06 | 수정일 2015.06.13
  • [공학]16비트 컴퓨터 설계 보고서
    MUX의 Symbol8X1 MUX를 이용하여 AR, PC, DR, AC, IR, TR, RAM의 한 개의 bit를 선택해주는 역할을 한다. ... 이 8X1 MUX 16개와 제어신호를 이용하여 최대 16개 bits의 정보를 선택해 줄 수 있고 필요한 resister가 bus로 이동할 수 있도록 한다.outputBusS2S1S0000None001AR010PC011DR100AC101IR110TR111Memoryselect신호에 ... 과정을 통해 밝히기로 한다.이렇게 해서 만들어진 RAM의 coding은 다음과 같다.완성 된 RAM의 Symbol3>> BUS system이제 common bus를 설계해 본다.8X1
    리포트 | 23페이지 | 5,000원 | 등록일 2007.06.21
  • 디지털회로 설계의 기초 4장 연습문제 풀이(설계 제외)
    (라) MUX를 이용하여 구현하시오.a' = ∑(1,4,6)+d(10,11,12,13,14,15)I0I1I2I3I4I5I6I7W'01234567W89101112131415b' = ∑ ... (바) 위의 각 경우에 대해 IC 패키지 수, 비용 등을 비교하시오.4.9 4x1 MUX를 이용하여 f(x,y,z)=∑(0,3,5,7)을 구현하시오.x'y'z'+x'yz+xy'z+xyz ... (가)의 경우 Quad 2-Input AND Gate*2 + Quad 2-Input OR Gate*1 = 600원(나)의 경우 3x8복호기*1 + Dual 4-Input NAND Gate
    리포트 | 18페이지 | 1,000원 | 등록일 2007.12.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:44 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대