• 통큰쿠폰이벤트-통합
  • 통합검색(1,307)
  • 리포트(1,151)
  • 자기소개서(84)
  • 방송통신대(33)
  • 시험자료(29)
  • 논문(6)
  • 서식(1)
  • 표지/속지(1)
  • ppt테마(1)
  • 노하우(1)

"디지털시계설계" 검색결과 461-480 / 1,307건

  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] 기말 프로젝트(Final Project) 스탑워치(Stop Watch) 제작
    설계 specification 및 제한사항1) Finite State Machine 사용2) Clock은 DE2보드 내장된 50Mhz 또는 27Mhz 사용3) 디지털시계는 시, 분, ... 설계 과정 - (1) Code1) mode_gen Module? 선언부? 디지털시계의 mode 설정과 시, 분을 증가시키는 increase 신호를 출력해주는 모듈이다. ... 디지털시계 동작(time_gen)? 디지털시계의 기능을 구현한 block이다.
    리포트 | 22페이지 | 5,000원 | 등록일 2014.10.21 | 수정일 2016.06.15
  • IC 칩을 이용한 수위계측기 최종보고서
    뒷부분에도 나와있는데 디지털 시계를 제작하기 위해서는 디지털 카운터 관련 내용을 배워야하고 7447칩과 7-segment 뿐만아니라 7490, 74390칩, 카운터 등등이 필요하다 ... 프로젝트에 이용된 회로로 응용 할 수 있는 다른 프로젝트우리 조의 프로젝트에서 이용된 것으로 사용하여 응용할 수 있는 프로젝트로는 디지털 시계를 찾아보았다.우리가 지금 배우는 실험책의 ... 제출일 :8조 프로젝트 최종보고서조담당교수학 번이 름수위 계측기 설계1.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.12.23
  • 수학여행 중 상해에서 3박4일 동안 있었던 일을 낭만적으로 묘사한 여행기입니다. 상해를 여행하시는 분들에게 큰 길잡이가 될 것입니다.
    제가 어리둥절해 하고 있으려니 학생 하나가 말하길, 휴대폰에 내장된 시계는 위성시계라 로밍만 해 놓으면 세계 어디를 가도 자동으로 현지시간으로 바뀐다고 알은체를 하더군요. ... 저는 몇 군데를 더 둘러보다, 난간에 걸쳐 잠시 쉬고 있는 중국인 청소부 할아버지 두 명과, 깊은 사색에 빠져 정원을 바라보던 아름다운 미국인 여성을 디지털카메라에 담은 다음 근처 ... 나중에서야 현지 가이드의 설명을 들으니 두 공항을 설계한 사람이 실은 같은 사람이라고 하더군요. ‘아, 그래서 두 공항이 비슷했구나!
    리포트 | 6페이지 | 2,000원 | 등록일 2018.06.09
  • 프로그래밍언어
    블록이다.많은 종류의 전자 회로는 어떤 형태의 산술연산을 계산하는 데 필요한데, 심지어 디지털 시계에 있는 작은 회로조차도 현재 시간에 1을 더하고, 언제 알람을 울려야 하는지를 ... 회로"디지털 회로이다. ... ko.wikipedia.org/wiki/%EC%A4%91%EC%95%99%EC%B2%98%EB%A6%AC%EC%9E%A5%EC%B9%98" \o "중앙처리장치"중앙처리장치의 기본 설계
    리포트 | 8페이지 | 1,000원 | 등록일 2017.10.05 | 수정일 2022.11.07
  • <<AVR EEPROM 데이터 쓰기와 읽기 및 활용>>EEPROM사용법,EEPROM 데이터 읽고 쓰기,EEPROM테스트,소스코드,사용법,회로도,EEPROM문자열 저장,ATmega128
    예를 들어, 디지털 시계를 만들어 시간을 임의의 시간을 저장하거나, 전원이 꺼지기 전의 데이터를 기억해야 할 제품을 만들 때에 EEPROM에 데이터를 저장하면 전원이 꺼진 후에도 데이터를 ... 설계하는 제품 중에는 전원이 꺼져도 종전의 데이터를 기억해야 할 필요가 많기 때문에, MCU에는 비휘발성 메모리인 EEPROM이 내장되어 있다.
    리포트 | 16페이지 | 4,000원 | 등록일 2015.11.12 | 수정일 2017.04.20
  • 티쏘_TISSOT_SWATCH GROUP_4P_SWOT_DISPLAY_VMD_마케팅_디스플레이컨셉
    경주 팀을 위한 디지털 다이얼의 F1 시계 출시1986년 아날로그와 디지털 2개 다이얼을 가진 투 타이머 시계 출시1998년 7가지 기능을 담은 티타늄7 출시1985년 스와치 그룹에 ... 설계, 제작1930년 최초의 항자성 시계 출시1944년 셀프 와인딩 무브먼트를 탑재한 오토매틱 시계 출시1953년 24개 타임 존을 한눈에 보여주는 네비게이터 출시1965년 경주용 ... 부로바가 소개한 아스트론을 응용1971년 플라스틱 소재로 만든 무브먼트를 탑재한 IDEA2001그 뒤로는 쿼츠 무브먼트에 디지털 다이얼을 가진 시계들을 개발1978년 로터스 자동차
    리포트 | 19페이지 | 4,000원 | 등록일 2015.03.22
  • 실험2 제14주 Lab12 Post Digital Clock
    Discussion이번 실험은 TEXT LCD에 시계를 구현하는 것 이외에도 원하는 시간을 입력하여 시, 분, 초를 조정할 수 있는 시계설계하는 것이었다. ... 전자전기컴퓨터설계실험Ⅱ분반 : 문용삼 교수님주차 : 14주차과목 : 전자전기컴퓨터설계실험Ⅱ학과 : 전자전기컴퓨터공학부학번 : 2009440132이름 : 전상기-목차-1. ... 하지만 이것이 끝이 아니라 DIGITAL CLOCK에 이어 부가기능을 설계해야 한다.
    리포트 | 20페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • [디지털시스템][VHDL] clock-MODE-GEN 설계
    과 목 : 디지털 시스템과 제 명 : MODE_GEN 설계담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.05.04.Purpose이번 실습을 통해 ... Digital Clock Chip 중 Mode Generator를 설계한다. ... 그리고 추가로 주어진 INCREASE Generator를 설계하기 위해 이 회로의 작동에 대한 이해가 필요하다.
    리포트 | 10페이지 | 2,500원 | 등록일 2014.05.07
  • [대입자기소개서][고려대학교 자소서] 고려대학교 건축사회환경공학부에 지원하여 합격한 자기소개서 예시입니다. 건축학과, 건축공학과, 도시환경공학과, 건축설계학과, 건축디자인학과 등에 지원할 수험생들은 반드시 이 글을 읽어보시기 바랍니다.
    이는 청소 시간이 명확하게 구분되지 않아서라고 판단하여 시간대를 나누어 각자 할 일을 부여하기로 했습니다.먼저 시간을 분배하고 각자 빗자루조, 책상밀기조, 대걸레조로 분배하였고, 디지털시계를 ... 그 동아리에서 저는 회장을 맡았었는데, 가장 기억에 남는 것은 동아리 회원들과 함께 이상적인 도시를 설계하고 제작하는 것이었습니다.도시 설계 단계에서 한 모둠원과 도시 구조를 어떻게
    자기소개서 | 4페이지 | 3,000원 | 등록일 2019.08.22
  • 글로벌 영화산업의 이해
    영화를 예를 들면 상영관, VOD시장, 유료채널방송, 케이블TV, 네트워크TV, 지역방송사 등을 통해 시계열로 소비자에게 노출시킴으로서 콘텐츠가 만들어 내는 수익을 극대화 일반적으로 ... 스핀오프 2015 스타워즈 : 깨어난 포스 제다이의 귀환 이후 약 30년 후 2016 로그 원 : 스타워즈 스토리 스핀오프 새로운 희망 직전, 제국의 새로운 무기인 데스 스타 의 설계도를 ... IPTV 및 디지털케이블TV는 2016년에도 가장 높은 매출을 기록하여 디지털 온라인 시장의 성장을 이끌었다. 2016년 TV VOD 매출액은 3,347억 원으로 디지털 온라인 시장에서
    리포트 | 52페이지 | 2,500원 | 등록일 2017.12.04
  • 생각정리스피치 독후감 내용정리
    가운데에 주제를 적고, 시계방향으로 꼬리에 꼬리를 물고 가지를 치며 생각을 확장해 나가면 된답니다.6) 비교, 대조를 하면서 설명하자.Ex. 강연은 뭐고 공연은 또 뭡니까? ... 전달할 수 있다는 장점이 있다.1) 메시지 선정 ; 속담이나 격언을 사용하면 임팩트가 생긴다.2) 소재 찾기 ; 내가 가장 ~했을 때의 기법으로 만다라트로 생각하기.3) 에피소드 설계 ... 논리구조 형태의 대본을 만들자1) 생각의 논리가 한눈에 보인다.2) 작성 시간이 단축된다.3) 효과적으로 기억할 수 있다.사진 첨부 제목은 디지털 마인드맵으로 만든 논리구조 형태의
    리포트 | 9페이지 | 1,000원 | 등록일 2019.04.04
  • 전전컴설계실험2-Final Report
    (Cursor Shift 등등...), 시계는 기본적으로 숫자가 증가하는 형식이기 때문에 Counter 를 이용하여 그 Counter에 따른 시간을 출력하도록 code를 설계한다.2 ... 일반적으로 디지털회로를 꾸밀 때 사용하는 중요한 기법중의 하나이다. ... FSM는 디지털 논리에서 회로를 꾸미고자 할 때 중요하게 사용되는 방법중의 하나입니다.주로 마이크로 프로세서 뿐만 아니라 디지털로 꾸밀 수 있는 모든 회로들의 주요 CONTROLER회로를
    리포트 | 24페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 한화방산 체계종합 직무 합격 자기소개서
    도어락 설계,2011.05~2011.06디지털 시계 설계, 2011.11~2011.12역행렬 계산기, 2011.11~ 2011.12디지털 선풍기 설계, 2012.05~2012.06부스트 ... 컨버터 설계, 2012.05~2012.06발진기 설계, 2012.06~2012.06멀티링크 와이파이 시스템, 2013.03~2013.10노년층을 위한 세탁기 어플리케이션, 2013.07 ... 대외활동 - 쏙쏙캠프,2013,01~2013,01쏙쏙캠프 우수동아리 활동 장려상행복한 모바일 세상, 2012.09~2012.12굿네이버스, 2012.07~2012.08▶팀프로젝트 - 디지털
    자기소개서 | 2페이지 | 3,000원 | 등록일 2015.09.18
  • 논리회로 설계실험 농구전광판
    계산기에서 사용했던 LCD와 스위치 디지털시계 실습에서 사용하였던 SEVEN_SEGMENT를 사용하여 구현 하였다. ... ;S_SEC : in STD_LOGIC_VECTOR (5 downto 0));end SEGMENT_DRIVER;표 LCD_DRIVER모듈의 entitySEGMENT_DRIVER는 디지털시계 ... BASKETBALL_DATA모듈을 설계하는 것은 단순히 데이터를 조작하는 것이므로 그다지 어렵지 않았다. 하지만 문제가 되는 것은 스위치를 눌렀을 때였다.
    리포트 | 24페이지 | 2,000원 | 등록일 2009.07.10
  • 차세대 디스플레이 PPT 자료
    ·도면·모형 등으로써 후세에 전하는 것이 불가능한 것을 간결하게 기록할 수가 있다 폴란드의 국립박물관에서 유사 홀 로그램으로 재현한 고대 유물이 전시되어 있다 이 외에도 계측 , 설계 ... 기존에 있던 큰 화면을 작게 만들어 간편하게 휴대하면서 옷이나 시계 , 안경처럼 자유롭게 몸에 착용하고 다닐 수 있는 기기 Sony smart watch Google glassHolographic ... 따라서 홀로그램 시장의 발전은 완전한 디지털 홀로그램이 기술적으로 완성될 때까지 아날로그 홀로그램을 이용한 콘텐츠 (예를 들면 홀로그램 사진 기술을 이용한 그림책 등)과 유사 홀로그램을
    리포트 | 18페이지 | 1,000원 | 등록일 2016.09.19 | 수정일 2018.05.28
  • 글로벌 혁신마케팅 성공사례 [재미있는.기발한.차별화된]
    스위스의 스와치 시계 , 화려한 색상과 튀는 디자인 등 이미지적 요소를 강화  전략시계 가치증가 시계는 시간 표시 상품 인식이 일반적 시계의 정확성을 향상시키고 , 디지털 시계와 ... 360 도 회전이 가능한 머리부분으로 구성여성엔지니어와 디자이너가 만든 진정한 여성용자동차 - 개발팀 멤버 절반 이상을 여성으로 배치 - 여성 팀원 간의 논의를 거쳐 여성들의 불만을 설계에 ... 시계에 대한 새로운 인식 전환  역발상의 개가 세계 최고의 스위스 시계 ‘정밀 제품’ ‘전자 제품’ 1970 년대 일본 시계산업의 인식변화 * 사례 : 증가와 창조로 시계 가치를
    리포트 | 36페이지 | 3,000원 | 등록일 2016.12.20 | 수정일 2017.03.07
  • 디지털시계
    디지털시계회로도, 카르노맵, 부울식 포함된 자료입니다.
    리포트 | 5페이지 | 5,000원 | 등록일 2010.11.07
  • 디지털시계
    ● 74LS04 (VCC 16, GND 8) ● 74LS86 (VCC 14, GND 7) ● 74LS390 (VCC 16, GND 8) ● 74LS90 (VCC 5, GND 10) ● 74LS47 (VCC 16, GND 8)● 74LS138 (VCC 16, GND 8)..
    리포트 | 1페이지 | 3,000원 | 등록일 2010.11.07
  • 한양대사회교육원 - 전자폐기물
    알람시계에눈을 뜨면, 제일 먼저 형광등을 켜고, 냉장고에서 물을 꺼낸다.아침 식사를 위해 전자레인지, 가스레인지, 오븐이 사용되고, 식사 후엔 커피포트에 데워진 물로 차를 마시기도 ... 사람 혹은 재화의 운송수단에는 적용되지 않는다 친환경제품의 자유로운 유통 높은 수준의 환경성과 달성 및 유럽연합 내 안전한 에너지 공급에 기여함이목적이며 에너지 사용제품의 친환경설계 ... , CE마크의 부착, 접합성 평가의 수행, 소비자정보제공 등을 의무화 하고있으며 이것은 즉 친환경제품 설계를 의무로 하고있으며에너지 사용제품에 대한 에코디자인 미적용 제품은 시장진입을
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.31
  • 고령화와 4차산업에 대한 ppt
    차 산업의 핵심기술 물리학 기술 ✔️ 3D 프린팅 - 기존의 절삭 ( substractive ) 가공 방식이 필요없는 재료의 층을 자 르거나 깎는 방식인데 반해 , 3D 프린팅은 디지털설계도 ... 노인을 위한 4 차 산업 기술 ( 사물인터넷 ) 활용 사례 안전시계 ( safett watch)U nit 02 ㅣ본론 상답복지 캡스톤 디자인 고령화와 4 차 산업 4. ... 맞춰 대응도 하며 , 다양한 업무 수행이 가능U nit 02 ㅣ본론 상답복지 캡스톤 디자인 고령화와 4 차 산업 3. 4 차 산업 2) 4 차 산업 2) 4 차 산업의 핵심기술 디지털
    리포트 | 39페이지 | 5,000원 | 등록일 2019.09.09 | 수정일 2023.10.16
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:44 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감