• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,264)
  • 리포트(1,916)
  • 자기소개서(243)
  • 시험자료(50)
  • 방송통신대(30)
  • 논문(16)
  • 서식(4)
  • 이력서(3)
  • ppt테마(1)
  • 노하우(1)

"디지털 시스템 설계 및 실험" 검색결과 41-60 / 2,264건

  • 4비트 CLA 가산기 verilog 설계
    이번 실습에서는 전파 지연이 없는 Carry look ahead 가산기를 설계해 덧셈 결과가 출력되는 지연시간을 리플 가산기와 비교하고, module 컴포넌트를 생성한 후 이들을 ... 이용해 구조적으로 모델링하는 설계 방법을 익힌다.실습 내용실습결과Verilog, VHLD설계1. ... Carry look ahead 가산기를 verilog로 설계한 코드CLA_4bit.vtb_CLA_4bit.vmodule CLA_4bit(input [3:0] A,B ,input Cin
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 전감산기 verilog 설계
    Schematic 설계 회로를 나타내라.Verilog, VHLD설계1.전감산기를 Verilog 또는 VHDL로 설계하고 다음에 코드를 나타내라.시뮬레이션 실행 ... 전감산기 설계 과정을 통해 조합논리회로를 Verilog 또는 VHDL로 설계하는 방법에 대해 공부한다. ... 위의 논리식에서 기본 게이트를 이용해 전감산기의 블록도를 그려라.Schematic설계1.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 디지털 시스템 설계 실습 n비트 가감산기 설계 verilog
    일상생활에서는 10진수를 사용하지만 디지털 연산은 2진수를 기반으로 하므로, 디지털 연산에 의한 결과는 10진수로 변환되어야 한다. ... 실습목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.11.02
  • 디지털 시스템 설계 실습 크기비교기 설계 verilog
    2. 비교기 이론 내용 기술 2bit 비교기를 사용하여 4bit인 두 수 a, b를 입력값으로 주게 되면 이를 2bit씩 쪼개어 두 개의 2bit 비교기 회로에서 비교한다. 첫 번째 2bit 비교기에서 이에 따른 값으로 a>b 이면 Gt_O = 1, a=b 이면 Eq_..
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.02
  • BCD code, 세븐 세그먼트에 대한 이론 회로
    설계와 합성의 길잡이(sam ir Palnitkar 저)(3) Verilog HDL을 이용한 디지털 시스템 설계 실습(신경욱 저) ... 참고 문헌(1) verilog를 이용한 디지털 시스템 설계(Charle Roth, Lizy Kurian John, Byeong Kil LEE 저)(2) Verilog HDL 디지털 ... 실험 제목BCD code, 세븐 세그먼트에 대한 이론 회로2.
    리포트 | 5페이지 | 2,500원 | 등록일 2023.06.22
  • A+ 디지털 시스템 실험 최종 프로젝트 자판기 <vending machine>, PPT포함
    실험제목최종 프로젝트 – Vending Machine실험목표① 자판기를 설계한다.② FPGA 보드에 올려 동작을 검증한다.실험결과1. ... 프로젝트 설명 구현 방법 1) 모델, flow Chart, state diagram 자판기 프로젝트는 실제 자판기처럼 동작하게 하였다. ... Quartus의 RTL을 이용한 구체적인 구조도는 [그림 4]와 같다.3) Input output 자판기는 총 6개의 Module로 구성되며 Top Module은 Vending
    리포트 | 9페이지 | 10,000원 | 등록일 2020.01.07 | 수정일 2020.12.09
  • 디지털 시스템 설계 실습 리플가산기 설계 verilog
    이번 실습에서는 전파 지연이 없는 carry look ahead 가산기를 설계해 덧셈 결과가 출력되는 지연시간을 리플 가산기와 비교하고, module 컴포넌트를 생성한 후 이들을 ... 이용해 구조적으로 모델링 하는 설계방법을 익힌다.2.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.24
  • 디지털 시스템 설계 실습 클럭 분주회로 설계 verilog
    실습목적많은 디지털 회로에서 클럭을 분주하여 사용한다. ... 클럭을 분주하는 방법은 다양하지만, 이번 실슴에서는 순차논리회로에 의해 상태를 정의하고 일정한 조건에 의해 상태가 전이되도록 클럭 분주회로를 설계함으로써 순차논리회로를 설계하는 절차를
    리포트 | 4페이지 | 2,500원 | 등록일 2021.03.24
  • 자동차용어사전
    부속변경 요구DMADigital Model Assembly디지털 모델 조립DMAIC"Defin공차G/EGuest Engeering개발 초기부터 선행검토하는Gage R&RGage ... Plan and Report분석/개발/유효성확인 계획 보고서AGVSAutomated Guided Vehicle System무인운반시스템AIAG'Automotive Industry ... NVH"Noise, Vibration aty Systems BasicsGM 협력사 품질관리시스템(품질시스템 기초)QSRQuality System Requirement품질시스템요건QSP"Quality
    노하우 | 5페이지 | 3,000원 | 등록일 2024.06.14
  • 디지털 시스템 설계 실습 전감산기 설계
    전감산기 설계 과정을 통해 조합 논리회로를 Verilog 또는 VHDL로 설계하는 방법에 대해 공부한다. ... 전감산기 설계1. 실습목적전감산기는 한 자리 이진수 뺄셈 시, 전가산기에서 더한 결과 캐리가 발생하는 것과 반대로 아랫자리에서 발생하는 빌림수를 고려해야한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.02
  • 부산대학교 기계공학기초실험설계 텀프로젝트 계획서
    역할을 수행하면서 육안으로 하나하나 시간을 들여서 서류를 찾는거보다 좀 더 효율적으로 찾게 도와주는 시스템을 만들면 좋겠다는 생각이 들었는데 기계공학 기초실험 설계에서 배운 디지털 ... 기계공학기초실험설계 통합실험 계획서서류 검색을 도와주는 서랍1. 스케치2. ... 시스템을 고안하면서 수업시간에 배운 디지털 입력을 담당하는 센서들을 활용하는 방법을 찾아보았다.그 결과 디지털 스위치(또는 7-segment)를 이용하여 원하는 숫자를 입력(n번째
    리포트 | 2페이지 | 1,000원 | 등록일 2021.11.12
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    ASIC의 이해- 특정한 전자/정보통신 제품에 사용할 목적으로 설계된 비메모리 반도체 칩으로, 복잡한 큰 디지털 시스템은 앞서 소개한 TTL 등으로 제작할 수 없고 고집적 ASIC으로만 ... 실험의 목적Verilog HDL 언어를 이용하여 디지털 회로를 디자인 하기에 앞서 Schematic 설계를 수행해 본다. ... GATE ARRAY 방식과 PLD 방식의 혼합형이며, 사용자가 SYSTEM 개발 현장에서 직접 IC를 제작하여 활용할 수 있다는 점이 특징이다.- 설계 data의 저장 방식에 따라
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • VHDL 설계 실습 보고서 (전감산기 설계)
    전감산기를 설계하는 과정을 통해 조합논리회로를 VHDL로 설계하는 방법을 공부한다.실습 내용실습 결과전감산기의논리식1. ... 전감산기를 schematic으로 설계하고, 설계한 schematic diagram을캡쳐하여 아래에 보이시오.2. schematic으로 설계한 전감산기의 모든 입력에 대해서 시뮬레이션하고시뮬레이션 ... VHDL 설계 실습 보고서 VHDL Lab_01일 시학 번이 름제 목전감산기 설계실습 목적전감산기는 한 자리 2진수 뺄셈을 할 때 전가산기에서 더한 결과 캐리가 발생하는 것과는 반대로
    리포트 | 3페이지 | 1,000원 | 등록일 2020.05.29
  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트
    용도 기능을 파악하고 설계Digital IC를 검증하는 방법을 익힌다.3. ... 실험 장비 부품- Digilent Nexys4 FPGA Board- Vivado Design Suite 2014.44. ... 프로세서나 기타 여러 가지 디지털 칩과 같은 특정한 집적회로를 설계하기 위해 사용된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 이용하여 디지털 회로를 디자인 하기에 앞서 Schematic 설계를 수행해 본다. ... ASIC의 이해- 특정한 전자/정보통신 제품에 사용할 목적으로 설계된 비메모리 반도체 칩으로, 복잡한 큰 디지털 시스템은 앞서 소개한 TTL 등으로 제작할 수 없고 고집적 ASIC으로만 ... 또한 대부분의 FPGA는 완전히 혹은 부분적으로 시스템상에서 재설정을 지원하며 이들의 설계시스템 향상이나 시스템 동작의 일반적인 부분처럼 동적 재설정하여 즉흥적으로 변경하는 것을
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 한양대 Verilog HDL 1
    관련 이론Verilog 베릴로그는 IEEE 1364로 표준화된 것으로, 전자회로 시스템에 사용되는 하드웨어 기술 언어이다. ... 실험 목적Verilog HDL과 VHDL의 차이를 파악한다. ... FPGA나 집적 회로 등의 전자공학 회로를 설계하는 언어로, 회로도를 작성하는 대신 언어적인 형태로 전자 회로의 기능을 구성할 수 있다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.21
  • 현대자동차 2021년 전자제어시스템 합격PT
    자료구조 알고리즘 디지털 시스템설계 컴퓨터 구조 전자회로 실험 논리회로 실험 반도체 소자전자공학 응용실험 디지털 공학 컴퓨터 네트워크 회로이론 SOC구조 설계-프로그래밍 실습기초회로 ... 웨어러블 마우스를 제작하게 되었고, 이후 SW개발자를 확신하게 됨너무 늦은 시기(4학년 2학기)에 진로를 정한 탓에 지식과 경험이 부족하였음논리회로 실험디지털 신호처리 선형대수물리전자물리2 ... 실험 기초공학 수학물리1 실험기초공학 수학2SW개발자를 목표로 삼은 이후 지금까지스마트 디바이스 프로그래밍 전문가 과정을 이수하며, RTOS 기반 FW를 개발하는 역량을 쌓음 SW개발자가
    자기소개서 | 5페이지 | 5,000원 | 등록일 2022.10.18
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어의 기본 사용법을 익히고 디지털 논리회로를 설계하는 여러 가지 방법론을 학습한다. ... 실험 장비 재료가. 실험 장비HBE Combo-II SE3. ... 참고문헌1) 서울시립대학교 전자전기컴퓨터설계실험2 실험 교안2) M. Morris Mano, Michael.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • Verilog UpDown Game 프로젝트 결과 보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 프로젝트 결과보고서디지털 시스템 설계 및 실험 2019 전기전자공학부이름 :학번 :제목UP&DOWN GAME프로젝트1 ... 주제 목표① 비교 연산과 RAM을 이용하여 UP/DOWN 게임 설계한다.② UP/DOWN GAME 이란 Player1이 입력한 숫자(정답)를 Player2가 맞추는 게임이다.2. ... RAM1에 Player1의 정답, RAM2에 Player2의 답을 저장한다.④ Player2의 답이 정답보다 크면 UP, 작으면 DOWN, 맞으면 SUCCESS를 LCD로 출력한다.⑤ 1Digit-Segment
    리포트 | 8페이지 | 1,500원 | 등록일 2020.04.23
  • [메카트로닉스공학과][대입][수시][대학 전공학과][학과소개][대학 학과가 보인다] 대학 <메카트로닉스공학과> 소개 자료입니다. 개설 대학 졸업 후 진로와 고등학교 때 어떤 과목을 선택해야 하는지 상세히 설명되어 있습니다.
    교과과목으로는 수치해석, 동역학, 기구학, 고체 역학 등과 전자회로, 디지털회로, 컴퓨터구조 등 전자공학 기초 과목을 이수한 후 마이크로프로세서 응용설계 제어공학, 소프트웨어공학 ... 자동화 기계 시스템의 특성을 이해하고 제어 기술의 분석 설계에 관하여 연구하며 힘과 운동을 다루는 동역학, 전자공학의 기초 내용을 배운다. ... , 로봇공학, 정밀공학, 가상공학, 인공지능 개론, 생체역학 바이오 시스템 등의 응용 과목을 이수한다.?
    리포트 | 2페이지 | 2,500원 | 등록일 2022.06.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:22 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대