• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,264)
  • 리포트(1,916)
  • 자기소개서(243)
  • 시험자료(50)
  • 방송통신대(30)
  • 논문(16)
  • 서식(4)
  • 이력서(3)
  • ppt테마(1)
  • 노하우(1)

"디지털 시스템 설계 및 실험" 검색결과 61-80 / 2,264건

  • 아주대 기계공학응용실험 실험3 유체역학 응용실험 결과보고서 22년 1학기
    실험 목적수평 원형관의 압력 강하를 측정해 압력 강하와 마찰 계수의 관계를 이해하고 펌프 유체 수송 시스템설계 능력을 배양하는 것을 본 실험의 목적으로 한다.2. ... 마찰계수를 알면 수두손실을 알 수 있고 펌프의 용량을 결정하는데 중요한 정보가 되기 때문에 압력강하와 마찰계수에 대한 이해는 유체 수송 시스템 설계에 있어 필수적이다.같은 관과 유체를 ... 실험 장치 방법1) 실험 장치① 유량계펌프에서 밀어낸 유체의 유량을 측정한다.
    리포트 | 5페이지 | 2,500원 | 등록일 2022.05.21 | 수정일 2022.07.21
  • 부산대학교 기계공학기초실험설계 텀프로젝트 보고서
    실험에서의 서랍은 2개이므로 1,2에서만 서랍이 움직이도록 설계하였다.3. TACT 스위치 (Digital Input)활용Tact 스위치로 자동화 서랍의 작동 유무를 결정한다. ... 기계공학기초실험 보고서스마트 서랍 자동화 시스템학과 : 기계공학부학번 :목 차1. ... 실험을 프로그래밍할 때, 디지털 스위치는 0~2의 값만을 사용하도록 프로그래밍하였다.프로그래밍 예시를 들면, 1번을 프로그래밍 할 때, Digital Input의 값을 다 더하면
    리포트 | 10페이지 | 3,000원 | 등록일 2021.11.12 | 수정일 2021.11.14
  • 디지털시스템실험 3주차 예비보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목기본적인Combinational Circuit① Decoder 설계② Binary-to-BCD ... Convertor 설계실험목표① 2-to-4, 3-to-8 라인 디코더를 설계한다.② Binary-to-BCD Convertor 설계한다.기본지식① Decoder디지털 시스템에서 ... Verilog 코딩 후 컴파일 시뮬레이션으로 결과 값을 확인해 본다.이번 실험의 회로도 진리표inp1inp2D0D1D2D30*************00101100011. 2-
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 7. 논리함수와 게이트 예비보고서 - [아날로그디지털회로설계실습 A+ 인증]
    실습을 위한 이론적 배경:디지털 시스템은 입출력을 두 개의 전압값이나 레벨로 나타내며 양논리시스템과 음논리시스템으로 나눌 수 있다. ... 아날로그 디지털 회로 설계 실습-실습 7 예비보고서-논리함수와 게이트소속중앙대학교 전자전기공학부담당 교수님*** 교수님제출일2021.10.28(목)분반, 조**분반, *조학번2* ... 실험에서는 5V 를 HIGH(1) 레벨로 하고 0V 를 LOW(0) 레벨로 하는 양논리시스템을 사용한다.AND 게이트 : 두 개 이상의 입력이 모두 1일 때만 출력이 1OR 게이트
    리포트 | 11페이지 | 1,000원 | 등록일 2022.10.02 | 수정일 2023.01.03
  • 디지털제품과 일반 아날로그 제품을 선택해 신제품 개발 과정의 공통점과 차이점을 분석하여 과제를 작성하시오.
    계획, 개념 개발, 시스템 수준 설계, 상세 설계, 테스트 개선, 생산 증가의 단계로 구성된다. ... 시스템 수준 설계 단계에서는 제품의 구성이 정의되고 하위 시스템과 개별 요소로 구분된다. ... 실험적으로 출시된 제품 중 일부는 생존, 성장, 브랜드의 대표 아이템이 되는 공통점이 있지만 디지털 제품은 관련 디지털 제품이 대안으로 작용할 경우 인수합병을 주로 사용하고 아날로그
    리포트 | 5페이지 | 3,000원 | 등록일 2024.07.09
  • Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    용도 기능을 파악하고 설계Digital IC를 검증하는 방법을 익힌다.3. ... 실험 장비 부품- Digilent Nexys4 FPGA Board- Vivado Design Suite 2014.44. ... 프로세서나 기타 여러 가지 디지털 칩과 같은 특정한 집적회로를 설계하기 위해 사용된다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 122. (전공_PT 주제) 반도체 디지털 회로설계 의 개념과 기술동향을 설명한 후, HDL 코딩에 관하여 설명하시오.
    표준 인터페이스 프로토콜 시스템 간 데이터 통신 방법을 이해하고 HDL 코드로 구현할 수 있습니다.(4) 동기·비동기 시스템 구조동기 비동기 시스템의 동작 원리를 이해하고, ... 솔루션을 제공하는 직무를 의미합니다.기술 동향반도체 디지털 회로 설계 기술의 최근 특기할 만한 변화는 다음과 같습니다:고성능 저전력 요구 사항이 증가하였습니다.새로운 재료와 소자 ... 상태 전이와 이벤트 기반 시스템을 구현하고 제어 회로를 설계할 수 있습니다.(5) FSM (Finite State Machine) 구조 해석FSM은 상태와 상태 전이에 기반한 회로
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.06.09 | 수정일 2024.06.05
  • 미래산업과경영혁신 [AI-디지털 헬스케어 산업]
    Insilico Medicine - 보유 기술의 유망성 GENTRAL 시스템 -46 일 이내에 새로운 신약 후보물질의 설계 , 합성 , 검증까지 가능한 GAN 기반의 ‘GENTRAL ... 그러나 인공지능을 활용하면 같은 시간에 논문 100 만 건과 임상데이터 400 만건을 분석할 수 있는 능력으로 새로운 약물의 설계 , 합성 검증까지 50 일 이내에 처리할 수 있다 ... Insilico Medicine - 경쟁분석 보유 기술 AI 검증 프로세스 일반적으로 분자 화합물을 특정하는 것부터 비임상 실험까지 2~3 년이 걸리던 것을 , AI 를 사용하여
    리포트 | 20페이지 | 3,000원 | 등록일 2022.06.28
  • 디지털 회로 실험 설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 1
    디지털회로실험설계 예비 보고서 #4( Multiplexer, DeMultiplexer 실험 / JK Flip-Flop을 이용한 순차회로 실험 )과 목담당교수제 출 일학 번이 름1. ... 카운터는 플립플롭으로 구성하며, 디지털 계측기를 비롯한 여러 디지털 시스템에 사용된다.- 카운터는 계수 방식에 따라 2^n진 카운터와 시프트 카운터로 구분한다. ... PSpice 시뮬레이션 회로도 결과실험1) 4-to-1 MUX를 구성하고, S1과 S0의 입력신호에 따른 출력 Y를 작성하시오.?회로도? 시뮬레이션 결과?
    리포트 | 19페이지 | 3,000원 | 등록일 2023.09.22
  • 아주대학교 자동제어실험 1번 실험 / Quarc & Elvis 실습 / 과제보고서
    선형 설계 (EX : 전달함수)에서 이득 Phasor/Frequency를 측정할 수 있다. ... 1번 실험 과제보고서전자공학과 / 학년 / 학번 : / 이름 :날짜 : / 담당조교님 :실험 1. QUARC ELVIS 실습1. ... 강의노트와 강의 영상에서 확인한 것과 유사한 (Negative) Feedback System을 다음과 같이 구성했다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.03.06
  • 기술사 제131회 토목시공기술사 풀이
    고려하여 계산하는 방법이다.부주면마찰력은 구조물의 설계 시공에 중요한 고려 사항이다. ... 구조물의 안정성을 확보하기 위해서는 부주면마찰력을 충분히 고려하여 설계 시공을 해야 한다.문제 7. 지진격리받침질문지진격리받침은 무엇인가? ... 에너지 흡수시스템은 다음과 같이 분류할 수 있다.* 시스템 댐퍼시스템 댐퍼는 교량구조물의 일부를 구성하는 부재를 이용하여 에너지를 흡수하는 시스템이다.* 구조 댐퍼구조 댐퍼는 교량구조물의
    시험자료 | 36페이지 | 10,000원 | 등록일 2023.11.28
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab01(예비) / 2021년도(대면) / A+
    또한 현재 대부분의 전자기기의 시스템디지털 시스템을 사용하고 있다.(3) Why do we use (and study) digital systems? ... 실험의 목적TTL의 특성을 이해하고 그를 활용하여 OR 게이트 논리 회로, XOR 게이트 논리 회로, 반가산기 회로, 전가산기 회로를 설계 실험한다.나. ... 한편, 최근에는 각 /D)와 DAC(Digital-to-Analog Converter, D/A)이다.- 범용 디지털 컴퓨터가 디지털 시스템에서 가장 잘 알려진 예라 할 수 있으며,
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • [부산대학교 응전실1(응용전기전자실험1)]AD DA 컨버터 응용전기회로 예비보고서
    *참고 자료 문헌- 부산대학교 응용전기전자실험 (2023) ... 또한 제어 시스템에서 디지털 제어 신호를 아날로그 제어 신호로 변환하여 모터 등을 제어하는데 사용됩니다.2) 래더형 D/A 변환기를 수식을 활용해 증명하시오.위의 그림은 레더형 D/ ... 래더형 D/A 변한기는 저항 선정이 간단하여 집적회로 설계시 유리하며 아날로그 출력값의 선형성이 양호하기 때문에 실제로 많이 사용됩니다.출력전압 는 입력 디지털 신호의 데이터에 따라
    리포트 | 3페이지 | 1,000원 | 등록일 2023.10.01 | 수정일 2024.03.22
  • [A+]중앙대학교 아날로그디지털회로설계실습 논리함수와 게이트 예비보고서
    서론디지털 시스템에 있어서 입·출력을 두 개의 전압값이나 레벨(level)로 나타내는데, 본 파트에 서는 입·출력을 두 개의 전압레벨로 표기할 때 양논리시스템(positive logic ... 설계실습 계획서7-3-1 XNOR 게이트 설계 특성 분석(A) AND, OR, NOT 게이트를 사용하여 NAND, NOR, XOR 게이트의 기능을 갖는 회로도를 그리고, XNOR ... system)을 적용 하여 논리레벨을 결정하기로 한다.
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.02
  • 디지털시스템실험 2주차 결과보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서이름 :학번 :실험제목① FPGA Verilog의 이해, Verilog를 통한 ... FPGA 프로그래밍 방법 이해실험목표① FPGA와 Verilog가 무엇인지 이해한다.② Verilog로 설계한 회로의 동작을 FPGA를 통해 검증한다.실험결과1. ... 그 중 몇몇 실험의 결과는 다음과 같았다.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.07.29
  • 2023상반기 현대자동차 R&D 합격 자소서
    연구경력 세부 전공에 대해 기술해 주십시오.1) 디지털 시스템 설계 A+ : VHDL을 이용해 디지털 시계 entity와 내부 아키텍처 개발을 한 학기 간 수행했습니다. ... 발생하는 에러들을 word파일로 정리하여 동일 에러 발생 시 빠르게 해결 했습니다.2) 디지털 회로실험 A0 : 한 학기동안 FPGA구조를 설계했습니다. ... 이후 제어시스템 설계, 머신러닝 과목을 이해하는 기반을 다졌습니다.5) 논리회로 A+ : 플리플랍, 카운터 등을 설계하며 논리소자에 대한 기초를 학습했습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.07.12
  • 2023 하반기 한국도로공사 자기소개서
    저와 조를 이루었던 선배는 실험 장비 프로그램 사용법을 대부분을 까먹은 상태였습니다. ... 1학년 때 공학적 설계 과목에서 자유주제 제품을 만드는 팀 프로젝트를 진행한 적이 있었습니다. ... 하지만 이런 설계하는 것이 다들 처음이다 보니 회로 구성과 코딩 과정에서 어려움을 겪었습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.04.28
  • CAE와 인공지능
    모의 실험 중에서도 컴퓨터를 이용한 모의 실험을 컴퓨터 시뮬레이션이라고 한다.특히 컴퓨터 시뮬레이션을 제품의 설계 분석에 사용하는 경우를 컴퓨터 이용 공학 (Computer Aided ... Level 3은 입력 데이터와 결과를 이용해서 앞으로 벌어질 결과를 예측까지 할 수 있는 단계를 말한다.사이버 물리 시스템(Cyber Physical System, CPS)디지털 트윈만큼 ... CAE는 컴퓨터 시뮬레이션의 한 종류다.디지털 트윈(Digital Twin)위키피디아는 디지털 트윈(Digital Twin)을 미국 제네럴 일렉트릭(GE)사에서 주창한 개념으로, 컴퓨터에
    리포트 | 8페이지 | 3,000원 | 등록일 2020.05.04 | 수정일 2020.05.11
  • 23년 상반기 한화파워시스템-전기제어 합격자소서
    구체적인 사례와 경험을 들어 기술하여 주십시오.1) 디지털 시스템 설계 A+VHDL을 이용해 디지털시계 entity와 내부 아키텍처를 설계했습니다. ... (How(요가링 시범) Result(참여율 높임))2) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 검증했습니다. ... 2023 상반기 합격 자소서한화파워시스템 – 전기제어한화파워시스템 – 전기제어한화파워시스템 해당직무에 지원하게 된 동기와 입사 포부에 대해 말씀해주십시오.한화파워시스템의 홈페이지와
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • 아주대학교 자동제어실험 1번 실험 / Quarc & Elvis 실습 / 예비보고서
    이를 통해 차후 진행될 설계 실험에 대한 기본적인 시야를 다질 수 있다.2. 실험 기자재NI PCIe-6351는 Matlab과 실험모델을 연결하는 역할을 한다. ... 갖고 있다.( 8개의 차동 / 16개의 단일 종단 )임베디드와 제어 MIC 설계 등의 개념 교육을 위한 도구이다. ... Analog Signal 과 Digital Signal 에 대해서 조사하고, 이 두 신호의 차이점에 대해 논하시오아날로그 (연속 신호)디지털 (이산 신호)신호형태특징1.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.03.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:41 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대