• 통큰쿠폰이벤트-통합
  • 통합검색(460)
  • 리포트(444)
  • 자기소개서(11)
  • 시험자료(4)
  • 노하우(1)

"모터제어실험보고서" 검색결과 41-60 / 460건

  • 국민대학교 자동차공학실험 - PWM을 이용한 스텝 모터 제어 보고
    자동차공학실험 IPWM을 이용한 스텝 모터 제어 보고서학번성명편성수강신청1. ... 본 실험에서는 PWM을 이용하여 스테핑 모터제어하여 모터의 특성을 파악할 것이다.2) 원리PWM(Pulse Width Modulation)은 펄스 폭 변조라고 하는데 모터 제어나 ... 실험목적본 실험에서는 PWM을 이용해서 스텝모터를 구동해 보고, 주파수의 변화에 따른 모터의 동작특성을 관찰하며 이론적 특성과 실험적 결과를 비교 검증해보고자 한다.
    리포트 | 3페이지 | 1,500원 | 등록일 2014.03.09
  • 전자회로실험 실험9 dc 모터 속도 제어 및 측정 결과보고서 전반부
    결과보고서주제9.DC 모터 속도 제어및 측정20080653211조권태영[PWM을 이용한 DC모터 속도 제어]1. ... 실험 목적(1) DC 모터의 특성을 이해한다.(2) 555 타이머를 이용한 PWM 변조 방식을 이해한다.(3) PWM 변조를 이용한 DC 속도 제어 기법을 이해한다.2. ... 오차 1%이내= 그림 3.1.19의 회로도를 구성 한 후, Modulation input값에 따른 듀티비를 측정하고, PWM의 펄스폭을 조절하여 DC 모터의 회전 속도를 제어하는 실험입니다
    리포트 | 7페이지 | 1,500원 | 등록일 2013.04.25
  • 전자회로실험 실험9 dc 모터 속도 제어 및 측정 예비보고서 전반부
    예비보고서주제9.DC 모터 속도 제어및 측정20080653211조권태영[PWM을 이용한 DC모터 속도 제어]1. ... 실험 이론DC 모토의 속도 제어기는 요구하는 속도를 만족시키기 위한 신호를 생성하고 이를 이용하여 모터를 구동한다. ... 실험 목적(1) DC 모터의 특성을 이해한다.(2) 555 타이머를 이용한 PWM 변조 방식을 이해한다.(3) PWM 변조를 이용한 DC 속도 제어 기법을 이해한다.2.
    리포트 | 15페이지 | 1,500원 | 등록일 2013.04.25
  • 전자회로실험 실험9 dc 모터 속도 제어 및 측정 예비보고서 후반부
    예비보고서주제9.DC 모터 속도제어 및 측정20080653211조권태영[포토 인터럽트를 이용한 DC 모터 속도 측정]1. ... 실험 이론1. ... 실험 목적(1) 포토 인터럽트를 이용한 광학식 인코더의 동작을 이해한다.(2) Schmitt-Trigger Inverter를 통해 히스테리시스 특성을 이해한다.(3) 비동기식 카운터의
    리포트 | 6페이지 | 1,000원 | 등록일 2013.04.25
  • 전자회로실험 실험9 dc모터 속도 제어 및 측정 결과보고서 후반부
    결과보고서주제9.DC 모터 속도 제어및 측정20080653211조권태영[포토 인터럽트를 이용한 DC 모터 속도 측정]1. ... 설계과제 분석 및 제작 결과[DC 모터 개루프 속도 제어/측정기 설계 및 제작](1) 주어진 규격 및 제한사항을 만족하는 개루프 DC모터 속도 제어기와 측정기를설계하고 제작한다.DC ... 모터의 속도 범위 :rps (revolution per second)DC 모터 속도 제어 해상도 : 1 rps 이하DC 모터 측정 해상도 : 1 rps 이상제한사항 : 주어진 부품
    리포트 | 7페이지 | 1,500원 | 등록일 2013.04.25
  • DC모터,서보모터 조사 예비보고서(자동제어실험)
    한다.위의 모터 외에도 서보 시스템화가 가능 한 FA용 모터로 스테핑(stepping Motor) 혹은 펄스(PulseMotor)가있다.DC서보 모터의 구조DC서보모터의 구조는 그림에서 ... 이것은 제어회로나 제어방식을 생각할 때, 매우 단순한 회로나 방식으로 할 수 있는 것이다. 이것이 DC 모터제어하기 쉽다고 하는 이유이다. ... AC서보모터가 등장했고 오늘날에는 여러가지 장점으로 인해 AC서보모터로의 세대 교체가 이루어지고 있다.여기서, 동기기형 AC서보모터를 SM형(Synchronous Type AC servo motor
    리포트 | 8페이지 | 1,500원 | 등록일 2008.12.30
  • DC 및 Stempping 모터제어 실험-결과보고
    8장 결과보고서Chapter 8.DC 및 Stepping 모터 제어 실험학 과학년학 번분 반실험조성 명전기전자공학부3054104586송기선전기전자공학부3054125983옥지헌? ... 제시된 생각해볼 문제를 풀어보고 동작을 확인한 수 결과보고서에 제출한다.① DC모터 속도제어#실험 과정①DC 모터의 PWM신호를 PWM0 핀을 이용하여 공급하고, PWM0의 듀티비와 ... 동작확인 & 생각해볼 문제 풀이예비보고서에 제출한 예상 결과와 실제 실험 결과를 비교 분석한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2008.10.26
  • 아주대학교 기계공학응용실험 A+ 자료 : 예비보고서 DC모터 구동 및 DAQ
    - 예비 보고서 -실험 제목: DC모터 구동 및 DAQ과목명 : 기계 공학 응용 실험제출일: 2019년 5월 13일실험일자: 2019년 5월 13일실 험 조 명 :-책임 수행자 :- ... BLDC 모터1) DC모터와 BLDC 모터의 장단점DC 모터BLDC 모터1. 플레밍의 왼손법칙 이용함.2. 제어 쉬우며 저렴함.3. ... 실험 목적? BLDC 모터의 특성과 원리에 대해 이해하고 DAQ 보드 및 소프트웨어를 활용하여 모터를 구동한다.2. 실험 이론?
    리포트 | 4페이지 | 1,000원 | 등록일 2019.10.12 | 수정일 2019.10.14
  • 전자회로실습 4 결과 - DC 모터 속도 제어 및 측정
    전자회로실험Ⅱ 결과보고서소 속전자공학과조주제 6. DC 모터 속도 제어 및 측정1. ... 비고 및 고찰이번 실험은 DC 모터 속도 제어 및 측정으로, DC 모터의 특성과 PWM 변조를 이용한 DC 모터속도 제어 기법을 이해하고 포토인터럽트와 모터에 회전판을 달아서 광학식 ... 그래서 모터제어부분에 5V전원을 주고, 모터의 측정부에 별도의 5V전원을 주었다면 가변저항에 따라 변화하는 모터속도를 확인할 수 있었을 것이라고 생각됩니다.2.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.10.02
  • 아주대학교 자동제어실험 7번 실험 / 속도제어시스템 / 예비보고
    7번 실험 예비보고서전자공학과 / 학년 / 학번 : / 이름 :날짜 : / 담당조교님 :실험 7. 속도 제어 시스템1. 실험 목적본 실험에서는 속도 제어 시스템에 대해 다룬다. ... K_p = 1인 비례 제어 시스템을 구성하여 단위 계단 응답을 실험한다.2. 예비 보고서의 설계 기준에 맞춘 비례 ? 적분 제어 시스템을 구성하여 단위 계단 응답을 실험한다.4. ... 실험 결과예상1) 비례 제어기를 이용하여 K_p = 1로 설정하고 얻은 결과로 모터의 단위 계단 응답 특성을 구하고 정상상태 오차를 구한다.비례 제어에 대한 단위 계단 (1차 sys
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • 모터제어_보고
    서 론1.1 실험 목적제어 시스템이란 원하는 응답을 제공하는 시스템을 구성하기 위해 시스템의 구성 요소를 연결하는 것이다. (2) 속도 제어 시스템에서 직류 모터를 사용하는 방법에 ... : Control system(제어 시스템), DC motor(직류모터), Steady state error(정상 상태 오차), Gain(이득값), Function(함수), Closed-Loop ... Dankook University Mechanical Engineering모터제어Dankook University Mechanical Engineering모터제어모터제어Key Words
    리포트 | 3페이지 | 3,000원 | 등록일 2023.12.24
  • DC 모터제어 보고서 A+자료
    및 좌굴 실험크리프 실험도립 진자제어능동 진동제어A-7A-8A-9A-10A-11A-12모터 제어금속재료진동실험복합재료동역학실험동마찰계수 및 충격량 측정이 름 :학 번 :담당교수 : ... 기계공학실험B Revision. 12018년도 2학기기계공학실험 A< A-7 모터 제어 실험 >Report(오전 1조)A-1A-2A-3A-4A-5A-6인장 실험경도 및 충격 실험압력용기 ... 담당조교 :제출일자 :기계공학실험 A 2018년 12월 14일1) 실험내용에 관한 전반적인 정리DC 모터 제어에 대한 이론을 유도 및 증명해 보았고, 이를 실험결과와 비교해 볼 수
    리포트 | 13페이지 | 1,000원 | 등록일 2020.05.16
  • 부산대 어드벤처디자인 결과보고서 3주차 A+보고서 1등보고
    어드벤처 디자인결과보고서 3실험 제목 : 모터(DC 모터, 서보 모터) 제어1. 실험 목적모터는 전기에너지를 회전력으로 변환하는 장치이다. ... 본 실험에서는 아두이노 보드를 이용해서 DC 모터와 서보 모터제어하는 방법을 실습한다.2. 실험 이론2-1. ... 실험 내용실험은 두 가지를 진행하였다.1) DC 모터모터 드라이버를 연결하여 모터제어할 수 있는 회로를 구성하고, 시리얼 모니터를 이용해 DC 모터의 속도와 방향을 입력받아
    리포트 | 6페이지 | 1,500원 | 등록일 2022.03.24
  • 동역학 및 자동제어 응용실험 결과보고서(아주대 기계공학 응용실험)
    - 결과 보고서 -실험 제목: 동역학 및 자동제어 응용 실험과목명 : 기계 공학 응용 실험제출일: 2023년 4월 일실험일자: 2023년 4월 일실 험 조 명 :반 조책임 수행자 : ... 실험 목적1) DC 모터와 아두이노를 이용하여 모터의 위치 제어 시스템을 만들고, PID를 제어기로 사용하여 모터의 각도를 제어함으로써 모터 제어시스템의 동역학과 자동제어에 대해 이해한다 ... 실험 이론2-1. 모터(전동기)모터는 전기에너지를 운동에너지로 바꾸는 장치를 의미한다.
    리포트 | 11페이지 | 2,500원 | 등록일 2024.03.08
  • 아주대학교 자동제어실험 7번 실험 / 속도제어시스템 / 결과보고
    7번 실험 결과 보고서전자공학과 / 반 / 조 / 이름 :날짜 : / 담당조교님 :실험 7. 속도 제어 시스템1. 실험 목적본 실험에서는 속도 제어 시스템에 대해 다룬다. ... 질문사항 분석1) 비례 제어기를 이용하여 K_p = 1로 설정하고 얻은 결과로 모터의 단위 계단 응답 특성을 구하고 정상상태 오차를 구한다.예비보고서에서는 이전 실험에서 찾아낸 전달함수를 ... 마찬가지로 Settling Time이 빠르게 나타나는 대신, Overshoot가 조금 더 커진 것을 확인했다.K_i의 값을 변경한 경우` zeta ` = 0.7 일 때의 출력 비교결과보고
    리포트 | 9페이지 | 1,000원 | 등록일 2022.03.06
  • 아주대학교 자동제어실험 7번 실험 / 속도제어시스템 / 과제보고
    7번 실험 과제보고서전자공학과 / 학년 / 학번 : / 이름 :날짜 : / 담당조교님 :실험 7. 속도 제어 시스템1. ... 이때, 모터제어가 설계한대로 동작하는지 여부를 말하고 만약 설계한대로 동작하지 않았다면 이유를 설명하시오.기본적으로, 강의노트 및 영상을 통해 학습한 PI 제어기의 전달함수는G( ... 생각할 수 있다.시상수가 0.5s이고, 이득이 5인 모터의 전달함수를 구하시오이전 실험들을 통해 확인한 전동기의 전달함수는{K} over {tau s+1}이다.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.03.06
  • 2023 기계공학실험2 도립진자 예비 레포트
    도립 진자 실험 예비 보고서담당 교수님: **재 교수님보고서 작성일: 2023.10.30도립 진자가 사용되는 대표적인 제품은 무엇인가?도립진자란? ... , 모터의 PID제어법PAGE \* MERGEFORMAT2 기계공학실험2 ... Hyperlink "http://www.ktechno.co.kr/pictech/motor05.html" http://www.ktechno.co.kr/pictech/motor05.html
    리포트 | 5페이지 | 3,000원 | 등록일 2023.12.21 | 수정일 2024.01.18
  • 메카트로닉스 마이크로프로세서 DC모터 제어
    메카트로닉스 및 실습보고서[마이크로프로세서(4)DC모터 제어]1.실험 개요- 실험 목적DC모터의 on/off를 제어하기 위해 tact SW를 활용한다. ... -가변저항기 : 가변저항은 회로에서 저항 값을 임의로 바꿀 수 있는 저항기이다 이를 통해 저항을 바꾸면 전류의 크기도 바꿀 수 있다.2.실험 방법[그림 1 dc 모터 제어 회로도][ ... 그림 2 L293B와 마이크로프로세서를 이용한 8-bit PWM 속도제어 코드][그림 3 ATmega16 코드 설명]사용된 코드설명DDRB=0x0b; //00001011PORTB=0x00
    리포트 | 5페이지 | 2,000원 | 등록일 2021.06.11
  • 부산대 어드벤처디자인 예비보고서 3주차 A+보고서 1등보고
    어드벤처 디자인예비보고서31. 실험 목적모터는 전기에너지를 회전력으로 변환하는 장치이고, 릴레이는 외부 신호를 통해 자동으로 전원(전압, 전류)의 개폐를 제어하는 장치이다. ... 본 실험에서는 아두이노 보드를 이용하여 두 종류의 모터인 DC 모터와 서보 모터와 릴레이를 제어하는 방법을 실습한다.2. 실험 이론2-1. ... DC 모터의 원리DC 모터의 종류로는 Brushed DC Motor, Brushless DC Motor (BLDC), Stepper(STP) 등이 있다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.03.24
  • 아주대학교 자동제어실험 9번 실험 / 펜듈럼시스템 / 예비보고
    실험 결과예상1) 예비 보고서에서 계산한 PID 제어기의 이득과 실제 이득의 차이에 대해 논의한다.앞서 PID 제어기의 단위 계단 응답을 이론 부분에서 다룬 바 있다. ... 9번 실험 예비보고서전자공학과 / 학년 / 학번 : / 이름 :날짜 : / 담당조교님 :실험 9. Pendulum System1. ... Settling Time = 3s 위의 조건을 만족하는 설계값은w _{n} ``=`2.59,K _{p} =1,K _{i} =54.59,K _{d} =0.62인데, 이 부분은 아래 예비보고
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:46 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대