• 통큰쿠폰이벤트-통합
  • 통합검색(219)
  • 리포트(202)
  • 시험자료(15)
  • 자기소개서(1)
  • 방송통신대(1)

"4비트 gray 코드" 검색결과 41-60 / 219건

  • 이산수학 과제 gray code 관련
    또한 gray code를 그 분야에서 사용해야만 하는 gray code만의 장점이 무엇이 있는지 알아보겠다.gray code는 일반적으로 서로 인접한 두 값이 한 비트만 다르기 때문에 ... 해 보겠다.다음은 4 bit gray code와 이진수에 대한 결과표이다.m2진수gray code000000000100010001200100011300110010401000110501010111601100101701110100810001100910011101101010111111101111101211001010131101101114111010011511111000옆의 ... 위의 점화식에 따라서 gray code를 찾아내 보자.다음과 같이 찾아낸 3 bit gray code를 이진수와 연관시키면 순서대로 0부터 7까지 대응시킬 수 있음을 볼 수 있다.두
    리포트 | 3페이지 | 1,500원 | 등록일 2013.04.28
  • 디지털 로직 실험 디멀티플렉서를 이용한 조합 논리
    아직 카운터(counter)를 다루지는 않았지만 그림 13-7에 보인 그레이 코드 카운터는 만들기 쉬우며, 교통 신호 제어 논리에서 순차 논리의 기본이 된다. ... 그리고 각각의 디코더에 Enable 입력을사용하고 최상위 비트 4개를 위해서 그것을 반전시키는회로를 구성하면 된다.3) 상태 순서에서 그레이 코드 사용의 장점은 무엇인가? ... 출력을반전시키는 것이 가능하다.따라서 8비트 디코더를 구성하기위해 먼저 74LS139A의절반을 최소유효비트 4개에 사용하고 나머지 절반을 최상위비트 4개에 사용한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2015.07.20
  • VHDL을 이용하여 다양한 state machine 설계
    BCD가 아닌 나머지 6개의수 1010, 1011, 1100, 1101, 1110, 1111은 사용하지 않는 수라 하여 무효코드(invalid code) 라고한다.2) Gray코드그레이 ... 실습목표 :1) BCD코드의 상태도를보고 VHDL로 설계해본다.2) Gray코드의 상태도를보고 VHDL로 설계해본다.3) Dual카운터를 VHDL로 설계해본다.4) Stepping ... 그레이코드는 어떤코드로부터 다음 코드로 증가할 때 한 비트만 바뀌는 특징을 가지고 있다.
    리포트 | 17페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 디지털로직실험/최신 디지털 공학 실험8 논리 회로 간소화
    카르노맵을 그릴 때, 변수들을 맵의 상단과 왼쪽 측면을 따라 그레이 코드(gray code) 시퀀스로 적는다. 맵의 각 셀은 진리표의 한 행에 해당한다. ... 수를 표현할 때 각 비트를 D, C, B, A로 하여 문자 D는 최상위 비트(most significant bit, MSB)를 문자 A는 최하위 비트(least significant ... 회로를 4비트로 설계할 수 있지만 8비트로도 쉽게 확장이 가능하다.실험 순서BCD 무효 코드 검출기1.
    리포트 | 24페이지 | 1,000원 | 등록일 2014.06.30
  • 전전컴실험Ⅱ 06반 제08주 Lab#06 [Flip-Flop, Register, SIPO] 결과보고서
    1이니까 gray code의 MSB는 1이다. ... 실제로 장비를 구동시켜본 후, 이론상의 결과와 일치하는지 확인한다.4. 틀렸다면 code에 잘못된 점은 없는지, warning, 논리적으로 맞는지 등을 확인한다.나. ... 각 비트에 가중 값을 할당하지 않고, Hyperlink "http://terms.naver.com/entry.nhn?
    리포트 | 11페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 아주대 논리회로실험 실험결과8 RAM(Random Access Memory)
    바로 이런 원리를 이용해 Binary-Gray 코드변환을 가능하게 할 수도 있는 것이다. ... 그리고 이것을 이용하여 7489 Binary-Gray 코드변환하는 기능을 수행할 수 도 있 었다. ... R A M 결과보고서● 실험 결과 분석실험 1. 2-bit RAM (시뮬레이션 대체)실험 2. 64-bit IC RAM-type 74897489 IC를 이용하여 구성한 64-bit
    리포트 | 4페이지 | 1,000원 | 등록일 2014.10.04
  • 디지털통신, 매트랩소스, QAM 송수신기 설계
    -코드clear alloperation=2;%% ModulationWo=2*2000*pi; T=1/2000;Nb=1000; T_a=round(rand(1,Nb)); %비트 발생기s= ... (bi2de(T_a(k:k+3))), %% Gray codingcase 15, phi((k+3)/4)=pi/4; Es((k+3)/4)=2*x^2;case 14, phi((k+3)/4 ... 즉 각각의 waverform(=s1(t),s2(t)...s16(t))이 가지는 비트 수가 4개이다.
    리포트 | 9페이지 | 2,500원 | 등록일 2016.11.09
  • 디지털 코드
    그레이 코드(gray code)사칙 연산에는 부적당하지만 서로 이웃하는 숫자와 1개의 비트만 변하게 되어 있어서 입력 코드로 사용할 때 오류가 적은 특성을 지닌다. ... (예제)①②③④BCD 코드Gray 코드Exess 3 코드2 - 5 코드⑤ASCII 코드●2진화 10진 코드는 한자리의 10진수를 4bit의 2진수로 표시한 것으로 10진수와의 변환이 ... 왼쪽부터 1,2,4번째 번에 패리티 비트를 두고 3,5,6,7째 번 비트에 정보 비트를 둔다.⑵ 해밍 코드(hamming code)비트구성행 :비트 :1P11P22D13P34D25D36D47P1에는
    리포트 | 40페이지 | 3,000원 | 등록일 2010.11.20
  • led와 7-세그먼트(결과) 인코더/디코더 코드 변화기, 멀티플렉서와 디멀티프렉서 (예비)
    0이니까 XOR하면 0이 나오니까 Gray 코드 입력 B는 0이 되고 Gray 코드 입력 C는 BCD 코드 입력 B, C를 XOR하면 BCD 코드 입력 B, C는 0, 1이니까 불일치하여 ... Gray 코드 입력 C는 1이 된다. ... 그러므로 Gray 코드 입력 A, B, C는 001이 된다.
    리포트 | 12페이지 | 1,000원 | 등록일 2014.04.20
  • [7주차] FSM
    Grey code로의 변환, 혹은 binary code로의 변환은 강의노트 21page를 보면 상세하게 나와 있으며 4bit의 이진수에 대한 binary codegrey code는 ... 하지만 이 경우 1bit의 에러가 실제결과에서는 큰 차이를 나타낼 수 있기 때문에 이를 보완하기 위해 사용하는 이진법이 grey code이다. ... Grey Code0) What is the Grey code?일반적으로 우리가 사용하는 2진수 표현법은 LSB가 1을 나타내며 2배씩 커지는 값을 가지게 된다.
    리포트 | 9페이지 | 2,000원 | 등록일 2012.06.30
  • [컴퓨터 구조] 데이터의 표현(2) 발표자료 : 기타 이진 코드, 에러 검출 코드
    -5 4비트 Gray 코드 Gray Decimal Gray Decimal code equivalent code equivalent 0 0 0 0 0 1 1 0 0 8 0 0 0 1 ... correcting code)라고 한다. - 해밍코드의 예 : 7비트 단일 에러교정 코드(single error correcting code)3. 6 에러 검출 코드(계속)- 에러교정 ... 그레이/2진변환(Gray-to-Binary Conversion) a) 2진 코드의 첫째 비트그레이 코드의 첫째 비트와 같다 b) 새로 생긴 2진 비트그레이 코드의 다음 번 비트
    리포트 | 24페이지 | 2,000원 | 등록일 2010.05.28 | 수정일 2023.01.02
  • 아주대논리회로실험 9장 RAM 결과(문답+빵판+고찰)
    따라서 입력값이 그 주소값의gray code가 반전된 값이기 때문에 출력에 버블이 붙어있어 주소값의 gray code가 출력될 것을 예상할 수 있다.ABCAddressDatato be ... 그 결과 출력값이 주소의 gray code값이 출력되었다.- 실험에 대한 고찰이번 실험은 두개의 RS래치를 이용한 2bit RAM을 구성하는 실험이었다.실험에서 우리가 저장하고자 하는 ... B는 주소값의 gray code의 반전된 값이며 이를 그 주소의 입력으로 한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2011.12.21
  • Simulink를 활용한 PSK와 FSK 시뮬레이션
    code를 이용한 것이며 일반적인 code를 사용하게 되면 M의 값이 커질수록 Noise에 더 취약한 통신 System(전력소모가 더 큰 System)이 만들어지게 된다.3. ... 또한 Eb의 값이 (비트 시간)*(신호 전력)이기 때문에 높은 Transmission bit rate는 M의 값의 크기를 보면 쉽게 알 수 있다. ... power를 의미하는데 BPSK와 QPSK의 경우 이 수치가 동일하다는 것을 알 수 있다.따라서 우리는 BPSK에 대해서는 전혀 고려할 필요가 없다는 것이다.추가적으로 이 System은 gray
    리포트 | 22페이지 | 3,000원 | 등록일 2015.05.18 | 수정일 2015.06.14
  • 자격증 워드 필기 합격전략 및 3과목 요약
    기타 표현 방식BCD 코드 : 2진수 4Bit로 표현, 8421코드, 가중치 코드Excess-3 코드 : BCD + 3, 비 가중치 코드Gray 코드 : BCD를 X-OR 연산, 비가중치 ... 코드패리티 검사 코드 : 에러 검출, 패리티 비트 사용, 짝수/홀수 패리티 방법해밍 코드 : 오류를 스스로 검출하여 교정, 2Bit 오류 검출, 1Bit 오류 교정< Point 7 ... 모니터 크기 : 대각선 길이를 cm 단위로 표시2. 픽셀 : 모니터 화면을 구성하는 가장 작은 단위3. 해상도 : 선명도 (픽셀 수에 따라 결정)4.
    시험자료 | 11페이지 | 1,500원 | 등록일 2016.10.11
  • 디지털로직실험 디멀티플렉서 레포트
    상태 디코더는 두 개의 입력(2비트 그레이 코드)이 있고 각각의 네 개의 상태에 대해 하나의 출력을 가져야 한다. 74LS139A는 2조 2-라인/4-라인 디코더로서 이 실험에 적합한 ... 아직 카운터(counter)를 다루지는 않았지만 그림 13-7에 보인 그레이 코드 카운터는 만들기 쉬우며, 교통 신호 제어 논리에서 순차 논리의 기본이 된다. ... 이 IC는 4비트 입력 2진수를 7-세그먼트를 구동하는 데 사용되는 고유의 코드로 변환해 준다.
    리포트 | 10페이지 | 3,000원 | 등록일 2015.06.03
  • Binary code분석
    또한 그레이 코드는 비가중치 코드(unweighted code)로서 일명 교번 코드라고도 한다. ... Gray CodeGray Code는 현 상태에서 다음 상태로 코드의 그룹들이 변화할 때 단지 하나의 비트만이 변화되는 최소변화코드(minimum-change code)의 일종이다. ... BCD CodeBCD(binary coded decimal) 코드는 10진 숫자를 표시하는 2진 코드로서 10진 숫자 한 자리마다 그에 대응된 2진수 4 비트씩을 2진 코드로 사용한다
    리포트 | 5페이지 | 1,000원 | 등록일 2010.05.16
  • 논리회로의 간소화 예비레포트
    이미 예비 레포트를 작성하면서 조사한대로 BCD-코드는 0에서 9까지의 값만을 4-비트 2진수로 표현할 수있고 따라서 2진수 (1010~1111)까지는 유효하지 않은 출력이 됩니다.실험에서는 ... K-Map에서 변수의 배열은 그레이 코드를 따른다.7. 각 묶음에 대해 묶음 내의 동일한 변수만을 사용하여 곱의 항을 만든다.8. ... 내 결함에 의한 영향 예측● 사용 부품7400 NAND 게이트, LED, 저항 : 330Ω 1개, 1.0KΩ 4개, 4비트 DIP 스위치 1개● 관련이론(1) 카르노 맵- 카르노
    리포트 | 5페이지 | 1,000원 | 등록일 2014.11.01
  • 의료전산일반
    그레이 코드 Gray Code | 다른 코드보다 에러율이 적어 입 · 출력장치나 A/D 변환기 및 주변장치에 많이 사용된다. ... Weighted Code 각 자릿수마다 고유값인 가중치를 가진 코드이다. 8421 코드 | 가중치 Weighted 코드의 대표로 0-9까지의 10진수를 4비트의 2진수로 표현하는 ... 이 코드의 특성은 연속되는 수는 1비트씩 변해가면서 새로운 코드가 된다.자료의표현 및 연산장치수의 변환자기 보수 코드 자기 보수라는 것은 어떤 3초과 코드 값이 주어졌을 때 이 값을
    리포트 | 61페이지 | 2,000원 | 등록일 2014.06.04
  • 16qam&16psk ber
    ) 16-PSK16PSK constellation plot with Gray coded bit-mapping Distance between constellation pointsTransmitted ... '; % decimal를 binary로 변환% Gray coded로 mappingDec = ind(Gray+1)-1; % N symbols을 k bits단위로 변환값 point지정Phase ... 현재는 64 QAM, 256 QAM 등이 실용화되어 있다.3) Gray Code16 QAM에서는 4비트를 1심벌로 하여 데이터를 보낸다.
    리포트 | 14페이지 | 3,000원 | 등록일 2012.06.22
  • 결과08_RAM
    ≫ 이 실험에서는 64bit RAM 7489를 이용, 각 주소를 binary DCBA로 지정, 그 안에는 Gray code를 저장한 후, binary code로 주소 값을 입력 하면 ... , 그에 대응하는 Gray code를 볼 수 있는 RAM을 만들었다. 16개의 메모리 위치를 결정하기 위하여 4개의 입력선이 사용된다. ... ME와 WE를 +5V에 연결한다.6) 7489 Binary-Gray 코드변환표 2에서 column A에는 memory location이 있고 column B에는 기억될 데이터 값이
    리포트 | 4페이지 | 3,000원 | 등록일 2010.11.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:12 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대