• 통큰쿠폰이벤트-통합
  • 통합검색(219)
  • 리포트(202)
  • 시험자료(15)
  • 자기소개서(1)
  • 방송통신대(1)

"4비트 gray 코드" 검색결과 81-100 / 219건

  • 디지털통신1_프로젝트a 최종
    ', M, 'nondiff')로 나온 ber과 비교하여 10^-3 보다 작은 최대 M을 구한다.이를 통하여 M을 구하여 simulation steps 에 집어 넣어 만든다.매트랩 코드clcclear ... 코드 변환과 모듈레이션signal = bin2gray(msg,'psk',M);x = pskmod(signal, M);% 노이즈 설정awgn = (randn(length(msg),1 ... error probability, PB(M)')title('동기적으로 검출된 다중 위상 신호화에 대한 비트 오류 확률');axis([-10 30 10^-5 1]);end결과빨간색
    리포트 | 5페이지 | 2,000원 | 등록일 2011.07.24 | 수정일 2015.07.19
  • [Digital Logic Design Project] Full adder
    덧셈해야 할 2개의 비트와 다른 숫자 위치에서 보내온 carry를 받아 sum과 또 다른 carry를 생성한다.4-bit full adder? ... 4개의 bit를 갖는 숫자를 덧셈하기 위한 논리 회로. ... 설계하고, 이를 확장시켜 4-bit full adder를 설계한다.이론Full adder?
    리포트 | 5페이지 | 1,000원 | 등록일 2010.01.20
  • 실험 7. 복호기와 부호기 예비 보고서
    Excess-3 Gray 코드에서는 1비트만이 변함.- BCD-3 초과 코드 변환기0~9의 입력을 4비트 BCD로 표현하고 이 값에 3이 더해 출력으로 나옴.< BCD-3초과 코드변환기의 ... BCD코드에서 0011(3)을 더해서 얻어지는 코드.2. Gray코드에서는 9에서 0으로 되돌아올 때 3비트가 변함3. ... BCD 코드의 입력 값을 A, B, C, D라고 하고, 이것이 Excess-3 코드로 바꾸어 출력되는 출력 변수를 W, X, Y, Z라고 하고 논리식으로 표현하면 다음과 같다.(4)
    리포트 | 4페이지 | 1,000원 | 등록일 2011.01.11
  • 10진 BCD부호 변환기 세미나
    때마다 0이 오른쪽에서 왼쪽으로 하나씩 증가하는 형태 ● 전자회로를 용이하게 구성그레이(gray) 코드그레이(gray)코드란? ... 그레이 코드의 특징 은 연속된 코드들 간에 하나의 비트만 변화하여 새로운 코드가 되며, 입력 코드 로 사용하면 오차가 적어지는 특징이 있다. ● 2진 코드에서 그레이(gray)로 변환방법 ... 결과에 6 (0110(BCD))을 더해준다.BCD 부호 연산방법3초과 코드(excess-3 code)는 BCD코드(8421코드)로 표현된 값에 3(0011(2))을 더해 나타낸 코드
    리포트 | 16페이지 | 1,500원 | 등록일 2008.09.10
  • 디지털 회로(Gray Code, Excess - 3 Code, 보수, BCD Code)
    또한 그레이 코드는 비가중치 코드(unweighted code)로서 일명 교번 코드라고도 한다. ... ---디지털 회로 리포트---(1) Gray Code그레이 코드는 현 상태에서 다음 상태로 코드의 그룹들이 변화할 때 단지 하나의 비트만이 변화되는 최소변화코드(minimum-change ... code)의 일종이다.
    리포트 | 17페이지 | 2,500원 | 등록일 2008.09.05
  • 매트랩 시뮬링크를 이용한 qpsk 변복조기(modem) 의 설계(timming recovery, phase recovery기능 추가)와 qpsk 변복조기의 ber curve확인
    결국 우리 조는 초점을 qpsk모뎀에서 bertool이용하여 에러레이트를 확인하는 과정에서 입력이 integer일 때와 gray일 때를 비교하며 gray일 때 이론적인 ber curve와 ... 거의 일치한다는 것을 확인하였고, 그레이방식이 훨씬 더 정확도가 높다는 것을 확인 할 수 있었습니다. ... 제가 사용한 블락들은 HDL코드로의 변환을 지원하지 않았기 때문에 변환이 불가능하다는 것을 알 수 있었습니다.따라서 HDL코드 변환을 위해서는 매트웍스사에서 시뮬링크에 사용하는 모든
    리포트 | 11페이지 | 3,000원 | 등록일 2012.12.20
  • D Flip-Flop을 이용한 2진 계수 설계
    과제내용입력이 0인 경우 2-비트 2진 계수를 하고, 입력이 1인 경우 2-비트 그레이 코드 계수를 하는 동기식 순차 논리회로를 D 플립-플롭과 NAND_게이트를 사용하여 경제적으로 ... [첨부3]동작파형 사진첨부입력이 0일때입력이 1일때MSBLSB[첨부4]회로 구현 사진앞면뒷면 ... 입력에 따른 회로의 동작(단,clock pulse : 1Hz)- 입력이 0인 경우 Flip-Flop의 출력을 측정하여 도시[첨부1]출력파형 첨부- 입력이 1인 경우 Flip-Flop의
    리포트 | 8페이지 | 3,500원 | 등록일 2011.12.01
  • 디지털 기초이론에관한 학습지도안
    규칙을 안다(3) gray code2진수-gray code 변환gray code-2진수 변환각 gray 수는 앞의 수와는 1비트의 차이가 있음을 안다(4) 패리티비트와해밍코드패리티 ... 개념지식○○bit2.2진연산73초과 코드이해력○○③8그레이 코드지식○○④9EBCDIC 코드분석력○○①10ASCII 코드적용력○○②11해밍코드지식○○③12패리티 비트분석력○○④13그레이 ... .디지털 기초이론중단원데이터 표현소단원BCD code, 3초과 code,gray code본시주제BCD code, 3초과 코드, gray code 변환차시4/10학습목표?
    리포트 | 19페이지 | 2,500원 | 등록일 2007.07.10
  • counter shifter verilog
    4씩 증가 ‘0’이면 ck의 rising edge마다 cnt 8비트를 4씩 감소시킴data8bitCounter(cnt[7:0]) 초기값load1bit‘1’이면 data[7:0]값을 ... register gray code & state diagram상태변이표회로도Shift registerShift register는 clock pulse가 가해짐에 따라서 binary ... 회로도3.구현내용(1)Design SpecificationCount Register구분이름비트수설명Inputinc1bit'1'이면 ck의 rising edge마다 cnt 8비트
    리포트 | 19페이지 | 1,500원 | 등록일 2010.12.21
  • Encoder
    -패턴 코드 : 2진 코드 (binary code), 그레이 코드(gray code), 아스키 코드(ascii code)2진 코드는 출력된 2진 코드를 그대로 직접 사용 가능 하지만 ... 비트(bit)의 길이로서는 8비트, 12비트, 16비트 등의 것이 사용되는데 패턴 코드의 분해능이 크면 클수록 정밀도는 높아지지만, 가공정도의 문제와 형상이 커지고 가격이 높아진다.Incremental ... 그레이 코드나 아스키 코드를 사용할 때는 2진 형으 로의 변환이 필요하다.그레이 코드 형은 회전판이 회전하면 패턴 코드값이 2진 코드와 같이 동시에 변화지 않으므로 과도적인 노이즈의
    리포트 | 4페이지 | 2,500원 | 등록일 2008.05.04 | 수정일 2015.08.16
  • 아주대논리회로실험 9장 예비보고서(기본구성+빵판+예상결과)
    메모리 동작을 하기 위한 순서대로 한 후에 Address입력 Binary DCBA를 입력하고 그 Address에 입력시킬 DI를 입력 시키는데 Address의 gray code값의 ... IC RAM-type 7489 (그림 4)7489는 4-bit 배열에 의한 16-word로 구성되어 있는 64-bit RAM이다.ME (pin2)WE (pin3)동작Condition ... and co-workers in their professional development and to support them in following this code of ethics.위
    리포트 | 7페이지 | 1,500원 | 등록일 2011.12.21
  • 디코더를 이용한 조합논리
    회로구성 방법0123State outputS0S1State Sequence (gray code)Output LogicMGMYMRSGSYSRTrigger logicTo timing circuitState ... 카운터 설계2진 2비트 카운터(Simple)INPUT AINPUT B8-1. 카운터 설계2진 2비트 카운터(Gray Code)INPUT AINPUT B8-2. ... 만약 n Bit의 정보중에서 사용되지 않는 정보가 있거나 Don't care 정보가 있으면 디코더의 출력은 2ⁿ개보다 적어진다.3-1. 디코더의 기능블록도 진리표3-2.
    리포트 | 17페이지 | 2,000원 | 등록일 2008.12.15
  • 교재집필 자료구조 파트 입니다
    3code), 2중 5코드, 3중 5코드, 시프트 카운터 코드(shift counter code, 또는 존슨 코드(johnson code)라고도 함), 그레이 코드(gray code ... 이 표에서 1,2,4행에는 패리티 비트(parity bit)가 들어가고 , 3,5,6,7행에는 정보 비트가 8,4,2,1이라는 가중치로 들어간다.해밍코드의 패리티 체크 비트(parity ... 이 형식은 가장 왼쪽의 1비트를 부호비트(sign bit)로 사용하고, 다음 7개의 비트는 지수 값을 나타내는 지수부(exponent),그리고 나머지는 유효숫자를 나타내는 가수부(mantissa
    리포트 | 52페이지 | 3,500원 | 등록일 2008.04.28
  • [컴퓨터 공학 실험] 논리 및 연산회로{가산기(Adder),감산기(Subtractor),부호 변환기(Code converter)}
    converterOnly NAND Boolean Function for 8421-2421 code converter다 -3) 4-bit 2 진 부호 (4-bit Binary Code ... ) – Gray 부호 변환기K-map for Binary-Gray code converterOnly NAND Boolean Function for Binary-Gray code converter ... ) 설계절차 8421(BCD)-2421 부호 변환기 4-bit 2 진 부호 (4-bit Binary Code) – Gray 부호 변환기가 ) 가산기 (Adder) 정의 두 개 이상의
    리포트 | 24페이지 | 1,000원 | 등록일 2009.03.25
  • 디지털공학실험 10/e 22장 예비보고서 입니다. 저자 David.M.Buchla
    이 카운터의 한 가지 유용한 특징은 시퀀스가 상태 0에서 시작할 때 그레이 코드 시퀀스를 형성한다는 것이다. ... 실험 준비물 : 74195 4비트 시프트 레지스터, 7400 4조 NAND 게이트, 7493A 카운터, 7474 D 플립플롭, 7486 4조 XOR,4조 DIP 스위치, LED 4개 ... 검사 소자가 2-입력 NAND 게이트라고 가정하고, 예측 데이터는 A=0이고, B=C=D=1로 설정한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2013.02.21
  • 성균관대 컴퓨터 과학 과제
    사용되는 열 개의 숫자를 4비트의 2진수로 부호화(coding)한 코드로 8521 코드라고도 한다. ... Gray 코드의 특징은 단일 비트가 변화하여 새로운 코드가 되는 것으로서 입력 코드로 사용하면 오차가 적게 되는 특징이 있다.다음은 2진수를 그레이 코드로, 그레이 코드를 2진수로 ... 특이하다.(5) 그레이 코드그레이 코드는 인접한 코드 값을 단일 비트 변화만으로 표현할 수 있는 비가중 코드이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2007.11.08
  • 디지털공학실험 10/e 22장 결과보고서 입니다. 저자 David.M.Buchla
    이 카운터의 한 가지 유용한 특징은 시퀀스가 상태 0에서 시작할 때 그레이 코드 시퀀스를 형성한다는 것이다. ... 검사 소자가 2-입력 NAND 게이트라고 가정하고, 예측 데이터는 A=0이고, B=C=D=1로 설정한다. ... 링 카운터는 피드백 되는 비트를 반전시키지 않기 때문에 원하는 비트 패턴은 시프트 레지스터의 병렬 적재 기능을 사용하여 미리 설정되어야 한다.일반적인 패턴은 한 개의 1이나 0을 재순환시키는
    리포트 | 4페이지 | 1,500원 | 등록일 2013.02.21
  • VHDL를 이용한 FSM 설계 및 키트 사용법
    ‘0’ 일 때 gray count로 출력하고, ‘1’일 때 binary count로 출력한다. 2가지 경우기 때문에 1bit만 사용해도 된다. cnt는 output으로 세 자리의 수를 ... 0));end bin_gray_cnt;( VHDL Modual - File name : "bin_gray_cnt " 로 지정 )- entity문entity의 이름은 bin_gray_cnt로 ... 또 하나의 예로 “7”같은 경우는 “11100000”으로 표현해주면 된다- 7-Segment의 code가 완성되면 각 segment에 맞는 pin값을 설정해 주어야 한다.옆에 표와
    리포트 | 13페이지 | 1,000원 | 등록일 2010.05.27
  • 지식정보사회와컴퓨터활용
    많이 사용되는 워드의 길이는 2바이트, 4바이트, 8바이트 등 여러 종류가 있음, 대부분의 컴퓨터 시스템에서 수치 처리 단위는 워드 단위로 이루어지고 있음필드 - 어떤 개 code ... - 정보표현의 최소단위(니블:4bit), 두 가지 상태(0과 1)를 표시하는 2진수 1자리, 컴퓨터 내부에서 모든 자료에 대한 가장 기본 구성 원소 역할, 일반적으로 n개의 비트로는 ... , 바이트, 문자, 워드, 필드, 아이템, 레코드, 파일컴퓨터 코드 - 표준 BCD코드, EBCDIC, ASCII코드, 가중 코드, 비가중 코드, 그레이 코드, 에러 검출 코드, 해밍
    시험자료 | 55페이지 | 4,000원 | 등록일 2011.04.23
  • 멀티미디어의종류
    JPEG는 풀 컬러(full-color)와 그레이 스케일(gray-scale)의 압축을 위하여 고안되었으며, 사진이나 예술분야의 작업에서 장점을 나타낸다. ... JPEG : joint photographic coding experts groupJPEG 위원회에 의해 개발되었다. ... 비압축 모드(No-Compre ssion)에서 Huffman, Pack Bits, 마이크로소프트가 개발한 윈도우의 RIFF 규격을 따르는 사운드와 동영상 파일입니다.
    리포트 | 6페이지 | 3,000원 | 등록일 2010.09.26
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:06 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대