• 통큰쿠폰이벤트-통합
  • 통합검색(219)
  • 리포트(202)
  • 시험자료(15)
  • 자기소개서(1)
  • 방송통신대(1)

"4비트 gray 코드" 검색결과 141-160 / 219건

  • 멀티미디어에 대하여..(자세히)
    (number)4 bitnibbleBCD코드문자(character)8 bitbyte모르스 코드(6bit), EBCDIC코드(8bit), ASCII코드(7bit+1bit), Unicode ... )(1비트)- 독일어, 불어 등 : 8비트- 문자의 순위 = 코드코드기호코드기호코드기호코드기호코드기호코드기호코드기호코드기호코드기호코드기호33! ... (16bit)단위자료구조정수(integer)2~4byteHalfword~Word±32767, ±20억실수(real)4~8byteWord~Double wordfloating point문자열
    리포트 | 44페이지 | 1,500원 | 등록일 2009.04.16
  • [공학]무선통신 시스템 설계
    of the code = 4 일때: Coding gain = 4* 2/6 = 1.33? ... (Modulation & Channel Coding) 한 개의 프레임은 2 비트로 구성이 되어 있다. 2비트로 6비트를 만드는 (6,2) 코드를 통과한 후 3비트씩 묶어서 2개의 8QAM ... - 두 점 사이의 간격을 넓게 하면서 전송전력은 최소화 하는 방법으로 constellation.- 인접한 점 사이의 비트 차이를 최소화 되도록 Gray Coding 함으로서, 오류
    리포트 | 10페이지 | 1,000원 | 등록일 2007.04.25
  • 논리회로실험- RAM (램) 결과보고서
    입력된 4bit 코드에 해당하는 Gray 코드가 출력을 통해서 출력됨을 볼수 있다.먼저 7489 기능표를 보면 ME,WE가 (L,L)이면 데이터 값을 기억시키는 기능(WRITE)이고 ... DCBA의 그레이 코드값이기도 하다.Address값은 ME와 WE에 +5V를 연결 하였을 때에만 변화하는데, 이는 memory에 부적당한 data 값이 들어가는 것을 막고 먼저 기록되어있는 ... 메모리 내부의 각 번지 마다 해당 번지수에 해당하는 Gray 코드의 반전값을 저장해놓으면 RAM을 READ MODE로 고정한 상태에서 ADDRESS SELECT 단자를 입력부로 하면
    리포트 | 9페이지 | 1,000원 | 등록일 2008.02.25
  • 디지털회로실험 > 결과보고서. Exclusive-OR 게이트와 그응용
    중요한 특징을 지니게 되어 많이 사용되고 있다.그레이 코드로의 변환 과정은 다음과 같다.1) 그레이 코드의 첫번쩨 비트는 BCD 코드를 그대로 사용한다.2) 그레이 코드의 두번째 비트부터는 ... (1)0.179 (0)4.453 (1)0.126 (0)X16이 회로는 페리티비트 검사기로 사실 A,B,C 출력은 상관없고 D 만을 주목할 필요가 있다. 4개의 입력신호가 짝수개의 ... BCD 코드의 앞 비트와 해당번째의비트를 Exclusice OR 하여 생성한다.(6) 그림과 같은 XOR 응용회로를 결선하고 입력 g1, g2, g3, g4에 따른 출력 A, B,
    리포트 | 5페이지 | 1,000원 | 등록일 2007.11.12
  • [디지털 영상처리]JPEG와 관련된 ppt 발표자료
    compression : transform coding..PAGE:4JPEGJPEG의 요구사항압축에 사용되는 기술은 가능한 최신의 것복원영상의 품질: very good indistinguishable어떠한 ... BSamplingDiscrete Cosine Transform (DCT)Fast Fourier Transform (FFT)QuantizationThe zig-zag reorderingHuffman coding ... 결과압축율(Cr, compression ratio)Cr = original data size / compressed data size= 64 * 8 / 32 (8bits/pixel
    리포트 | 45페이지 | 15,000원 | 등록일 2006.08.08 | 수정일 2017.10.10
  • 엔코더 예비보고서
    (BCD, Binary, Gray코드 등)를 지정하여, 회전축의 위치 및 각도에 따라서 지정된 디지털코드로 출력되도록 절대회전각도 검출 장치이며, 위의 그림처럼 발광소자와 수광소자가 ... 인크리멘탈형과 앱솔루트형항목인크리멘탈 형앱솔루트 형출력내용상대값 출력.회전각의 변화에 따라서 펄스가 출력된다.절대치 출력.회전각의 절대 값이 연산되어 비트단위로 출력.정지 시 대응정전 ... 펄스엔코더리니어 펄스엔코더측정원리옵티컬 펄스엔코더Fig.1마그네트 펄스엔코더Fig.2레이져 펄스엔코더Fig.3펄스카운트방식인크리멘탈 펄스엔코더앱솔루트 펄스엔코더출력형태TTL 로직Open collectorLine
    리포트 | 6페이지 | 2,000원 | 등록일 2010.12.19
  • 논리회로실험- 디코더 예비보고서
    입력 코드는 일반적으로 출력 코드보다 작은 비트수를 가지며, 입력 코드 워드(cord word)에서 출력 코드 워드는 1대 1로 mapping 된다. ... 이러한 디코더는 n-비트 이진 입력 코드와 1-out-of-2n 출력코드를 갖는다. n-비트 입력 값에 근거하여 2n개의 출력 중의 하나만을 활성화시킬 필요가 있을 경우 이진 디코더를 ... 실험4. 디코더-목적카운터의 디코딩의 코드변환 동작에 관해 알아본다.
    리포트 | 5페이지 | 1,000원 | 등록일 2008.04.30
  • 멀티미디어공학 C언어 영상처리(Histogram shrinking,Histogram stretchin,HSI 모델)
    (1)번에 대한 소스코드 Histogram shrink#include #include #define WIDTHBYTES(bits) (((bits)+31)/32*4)#define BYTE ... unsigned charvoid main(int argc, char *argv[]){FILE *file;BITMAPFILEHEADER hf;BITMAPINFOHEADER hInfo ... \n");exit(1);}// 파일 헤더를 읽습니다.fread(&hf, sizeof(BITMAPFILEHEADER), 1, file);// 비트맵 파일인지 검사합니다.if(hf.bfType
    리포트 | 14페이지 | 1,500원 | 등록일 2007.09.23
  • 논리회로 실험 결과 - 64-bit IC RAM-type 7489 , 2-bit RAM
    ME와 WE를 +5V에 연결한다.6) 7489 Binary-Gray 코드변환표 2에서 column A에는 memory location이 있고 column B에는 기억될 데이터 값이 ... RAM의 주 장점은 6비트 입력코드를 요구한다. ... (주의: 입력된 데이터는 2진수에 일치하는 memory location의 Gray 코드의 완전한 값이다.
    리포트 | 10페이지 | 3,000원 | 등록일 2007.11.13
  • [예비,결과]NOR와 NAND 및 EXCLUSIVE-OR 게이트
    (a) 표시기호 (b) 진리표4) XOR(Exclusive-OR) 게이트XOR 게이트는 그레이부호 변환(Gray code)과 패리티(parity)확인 등에 이용되는 논리 소자로서,ABX000011101110 ... 예를 들면 2비트의 데이터 A, B가 있을 때 A>B 인가, A ... (a) (b)그림 4 XOR 게이트.
    리포트 | 9페이지 | 1,000원 | 등록일 2009.05.31
  • [공학]논리회로 설계) Exclusive-OR Gate (7486),그레이 코드
    논리 게이트를 구현하여 출력 상태를 기록하고, 다른 논리게이트와 비교 해 본다.패리티 비트 검사기 회로와 그레이 코드(Gray Code) 변환기 회로가 어떤 기능을 하는지 알아본다.2 ... 실험 7)은 그레이 코드(Gray Code)변환기 회로로, X0R 게이트 4개로 이루어져 있다.ABCDEVWXYZ11001101010101001111111101000110001110011011111100111111000000011000101101111110우선 ... 코드(Gray Code) 변환기 회로이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2006.10.16
  • 이미지파일압축
    , 32비트로 이미지를 저장- JPEG으로 압축할 때 4단계의화질을 선택적으로 사용⑤ GIF- Compuserve 사에서 만든 파일 형식- 64,000필셀 크기의 화상- 1,600만 ... 압축도 이러한 코드화 기법의 일종인데 데이터가 압축되는 품질에 따라 무손실 압축, 손실압축, 혼성압축등으로 분류된다.4. ... 이미지 파일의 특성4. 무손실 압축5. 손실압축6. 혼성압축7. 이미지파일 압축1) GIF 압축2) JPEG3) 웨이브렛4) JPEG20009. 출처1.
    리포트 | 8페이지 | 1,000원 | 등록일 2008.07.08
  • VHDL - 4 Bit 동기 Modulo-10 Counter, 4 Bit 동기 Modulo-10 Preset Counter, 5 Bit Johnson Counter
    4 Bit 동기 Modulo-10 Counter, 4 Bit 동기 Modulo-10 Preset Counter, 5 Bit Johnson Counter,Gray Code Up_Down ... Counter,Irregular Sequence GeneratorVHDL 코드
    리포트 | 6페이지 | 1,000원 | 등록일 2006.11.04
  • RAM에 대한 이론과 실험 내용
    ME와 WE를 +5V에 연결한다.6) 7489 Binary-Gray 코드 변환표 2에서 보면 column A에는 memory location이 있고 column B에는 기억된 데이터 ... 기억된 데이터의 마지막 결과 값은 2진 입력이 Gray 코드로 출력 변환이다.)주의 : 만약 과정 3에서 S4S3S2S1이 0111이면 D4D3D2D1에 들어가는 데이터를 0111로 ... (주의 : 입력된 데이터는 2진수에 일치하는 memory location의 Gray 코드의 완전한 값이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2007.06.18
  • [회로이론] EX-OR, EX-NOR에 대하여
    (a)패리티 검사기 (b) Binary-to-Gray code변환기실험 방법실험. 1위의 그림과 같이 회로를 짜는 것이 실험 1의 과제이다.이와 같이 회로를 짜는 것은 EX-OR의 ... 결과이번 실험을 통하여 EX-OR 게이트와 EX-NOR게이트에 대해서 조금이나마 알게 되었고,EX-OR의 응용인 패리티 검사기와 Binary-to-Gray code 변환기에 대해서 ... convert-ter에서 찾을 수 있다.패리티 검사기는 데이터(숫자 및 문자)를 전송할 때 착오가 발생되면 그 착오를 검출하는 데 사용되며 전송할 때 매우 중요하다.그레이 코드
    리포트 | 9페이지 | 1,000원 | 등록일 2004.05.21
  • [디지탈 논리회로]디지탈 논리회로
    코드(Gray Code)비가중 코드(unweighted code)로써 특징은 값이 커감에 따라 1비트씩 변해 가면서 새로운 코드가 된다. ... 3(2진수로는 0011)을 더한 값으로 표시된 코드 4비트씩 2진수로 바꾸어지며, 4개의 비트에 해당하는 자릿수가 규칙적으로 정하여지지 않으므로 비자리값 코드(unweight code ... A/D 변환기(analog/digital converter) D/A 변환기(digital/analog converter) 코드그레이 코드(Gray Code)10진수2진수 코드그레이
    리포트 | 52페이지 | 1,000원 | 등록일 2004.03.29
  • 21 동기 카운터 설계-예비,결과보고서 디지털공학실험
    이번 예제에서는 오직 D와 C 맵만이 나타난다(QB와 QA는 아래의 맵을 보다 알아보기 쉽게 하기 위해서 표시해 놓았다).그림 21-3그림 21-4 Gray 코드 카운터에 필요한 시퀀스2 ... 실험 22에서 다룰 것이지만 Gray 코드 동기 카운터는 state machine 설계에 자주 사용된다. 6-상태의 gray 코드가 필요하다고 가정하자. ... 일반적인 Gray 코드 시퀀스는 사용되지 않는다. 왜냐하면 6번째 상태가 다시 0 상태로 되돌아 올 때 Gray 성질을 잃기 때문이다.
    리포트 | 11페이지 | 1,000원 | 등록일 2008.11.16
  • [컴퓨터 일반]데이터의 표현
    786432 비트 필요함그래픽 데이터 표현 - 2그레이 스케일(픽셀당 8bit) 흑백 영상 흑색 흑색과 백색 중간 254개의 잿빛 음영색 백색 256개  28그래픽 데이터 표현 ... 10진코드)데이터 통신용 표준 코드2 (=128)7비트ASCII(국제표준)8421코드2 (=64)6비트BCD (2진화 10진코드)특징표현 가능문자크기코드678그래픽 데이터 표현 - ... 파일 압축 한 개 이상의 파일을 줄여 하나의 작은 압축파일로 만드는 방식데이터 압축 - 2압축의 원리 여러 개 반복되는 것을 하나로 표현 압축 방법AAABBBBCCCDDDDA3B4C3D4질
    리포트 | 16페이지 | 1,000원 | 등록일 2007.01.29
  • LCD 회로설계
    경계의 위치를 결정 코드화 : Data channel은 8-bit input data로부터 10-bit TMDS character로 코드화하여 구동(transition-minimized ... pixel4 data pairs + clock3 data pairs + clock8-bit dual pixel8 data pairs + clock6 data pairs + clockDVI가 ... 주기동안의 파형 (R0 : LSB, R5 : MSB)t2) R,G,B 8Bit Data로 256 Gray Pattern Display파형 추정 : R,G,B 신호는 모두 R0(G0
    리포트 | 7페이지 | 2,500원 | 등록일 2007.10.06
  • 실험4.디코더인코더 및 다중화기역다중화기 7세그먼트 디코더
    디코더/인코더 및 다중화기/역다중화기,7세그먼트 디코더학 번2003040520성 명우 재 홍관련이론○ 디코더(Decoder)디코더(decoder)란 n비트의 2진 코드(cod) 값을 ... 대표적인 것으로는 10진수를 2진수로 변환시키는 10진2진인코더, 10진수를 2진화10진코드(BCD code)로 변환시키는 10진-BCD인코더 등이 잘 알려져 있다. ... 실물사진그림 세그먼트 구성 및 숫자 표시7세그먼트 표시기는 애노드 공통형(common-anode type)과 캐소드 공통형(common-cathode type)의 2가지가 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2008.05.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:09 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대