• 통큰쿠폰이벤트-통합
  • 통합검색(6,042)
  • 리포트(5,840)
  • 시험자료(116)
  • 자기소개서(37)
  • 방송통신대(20)
  • 논문(18)
  • 서식(7)
  • 이력서(2)
  • ppt테마(2)

"7 segment" 검색결과 41-60 / 6,042건

  • [A+보장]한양대에리카A+맞은 레포트,논리회로설게및실험,Decoder & 7-segments 실험
    실험 목적74LS47 소자를 이용하여 7-segment 시스템을 표현할 수 있다.Chapter 2. 관련 이론1. ... 을 보면 4x2 encoder를 위해서 그린 블록도에서 a3,a2,a1,a0의 입력이 입력으로 들어가고 있고, 출력이 b1,b0으로 나오는 것을 확인할 수 있다.
    리포트 | 7페이지 | 2,500원 | 등록일 2024.05.21
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 7-segment Decoder 회로 설계 과제 13주차
    아날로그 및 디지털회로 설계 실습13주차 과제: 7-segment / Decoder 회로 설계1. 7-segment LED의 특성을 확인하였을 때, Common Cathode type이라면 ... 7-segment LED에 허용전류 이상의 전류가 흐르게 되면 고장나기 때문에 저항을 연결하여 전류를 허용전류 이하로 낮춘다.3. 7-segment LED가 Common Anode ... , 7-segment LED의 3번핀과 8번핀은 어디에 연결하여야 하는가?
    리포트 | 3페이지 | 1,000원 | 등록일 2021.06.28
  • 중앙대학교 아날로그및디지털회로설계실습 설계실습 10. 7-segment Decoder 회로 설계 A+ 예비보고서
    ) : 1대 점퍼선 : 다수10-3 설계 실습 계획서10-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다. ... 실습 준비물* 부품 저항 330Ω, 1/2W, 5% : 8개 Decoder 74LS47 : 1개 Inverter 74HC04 : 8개 7-Segment : 1개 Switch : 4개 ... * 사용장비 오실로스코프(Oscilloscope) : 1대 브레드보드(Breadboard) : 1개 파워서플라이(Power supply) : 1대 함수발생기 (Function generator
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.15 | 수정일 2022.09.22
  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    이 때, serial out인 DIO7에서는 0->1->0->1이 출력되었다. ... -Multiplier 설계를 통해 shift register의 활용방법을 익힌다.-4-digit 4-segment display의 구동원리를 이해하고 활용을 위한 회로를 설계한다.이론2 ... -LUT4_segmentLUT4_segment는 하나의 digit에 원하는 정보를 표시해주는 역할을 하게 된다.STEP 6:그림5-1에서, M4_1E의 output을 O(3:0)이라는
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 중앙대학교 아날로그및디지털회로설계실습(3-2) A+ 10차예비보고서-7-segment/Decoder 회로 설계
    설계실습 계획서3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다. : 실습에서는 74LS47 을 Decoder 로 이용하기 때문에 ... 실험 목적7-segment 와 Decoder 를 이해하고 관련 회로를 설계한다.2. ... 이 보고서의 3-3 구동회로 설계에서는 CA 형 7-segment 를 사용하고, 실험 준비물인 330Ω 저항을 통해 연결하도록 하자.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.06
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    예상 결과본 실험은 Verilog HDL 언어를 사용하여 7-segment and Piezo Control 을 설계하는 실험이다. 7-segment and Piezo Control ... 전자전기컴퓨터공학부 설계 및 실험2Pre Lab-08Peripherals(7-segment and Piezo Control)실 험 날 짜학 번이 름목차1. ... 실험 방법(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. upcounter 설계2. static 7 segment를 모듈화해서 사용codesimulation
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    본 토의에서는 실험4의 코딩에 대해서 설명하고자 한다.총 4개의 7segment의 자리 수를 나타내는 변수 seg_com 선언각각의 7segment에 나타나는 변수 seg_data ... 전자전기컴퓨터공학부 설계 및 실험2Post Lab-08Peripherals(7-segment and Piezo Control)실 험 날 짜학 번이 름목차1. ... 토의실험(1) 4-bit up counter의 출력 값을 single FND에 표시1) upcounter 설계 2) static 7 segment를 모듈화해서 사용실험1은 4bit
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 8주차 예비+결과(코드포함) Application_Design_I 7-segment and Piezo_Control
    I.INTRODUCTION본 실험은 7-segment와 dynamic 7-segment, 그리고 PIEZO buzzer를 설계한다. 7-segment에 사용되는 decoder를 설계해보고 ... , 이러한 이해를 바탕으로 3개의 7-segment로 구성된 동적 7-segment를 설계한다. ... 그리고 마지막으로 PIEZO buzzer를 설계함으로써 verilog 코드를 통한 응용을 학습한다.II.7-Segment, Piezo buzzer, dynamic 7-segment
    리포트 | 3페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 7 segment 예비
    전기전자 회로 응용 실험7-segment예비 레포트7-segment1. ... 개요7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... 동작 원리7-Segment란 Bar형태로 된 LED를 7개 연결해 놓은 것이기 때문에 7-Segment의 동작원리는 LED의 동작원리이다.
    리포트 | 3페이지 | 2,000원 | 등록일 2014.05.04
  • 7-segment
    실험결과 [7-segment]Common Cathode타입을 사용하였으며, 에 스위치와 7-segment를 연결하였습니다.숫자입력 스위치 패턴7-segment LED 점등패턴**** ... 1 2 3 4 5 6 7 8a b c d e f g dp1.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.05.24
  • 7segment실험
    고찰논리회로 설계모습이번 실험은 카르노맵의 논리연산을 이용해 7segment로 숫자에 불이 들어오게 하는 실험이었다. ... 비록 이번 수업만으로는 전자공학에 관한 부분을 전부 습득할 수 없겠지만, 1학기때의 주파수변조 실험과 이번 7segment실험을 통해 전자공학이란 학문에 좀 더 호기심과 흥미가 생긴 ... .10진수SWASWBSWCabcdefg*************0101100002010110110130111111001410001100115101101101161101011111711111100007segment
    리포트 | 7페이지 | 1,000원 | 등록일 2013.01.02
  • 인코더 디코더와 7 segment display
    Segment Display7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... 몇몇 숫자(0, 6, 7, 9)는 둘 이상의 다른 방법으로 표시가 가능하다. 7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막 가운데 가로 획까지 ... Segment DisplayBCD-7-Segment 진리표5.
    리포트 | 12페이지 | 1,000원 | 등록일 2016.03.11
  • 7-segment
    스위치 3개를 이용하여 7-Segment를 0~7까지 제어1(VCC)0(GND)1) 3개 변수를 이용한 진리표작성스위치 3개 : A , B , C7-segment 요소 : a , b ... 당시에는 설계가 되어있는 논리회로를 스위치 조작을 통해 0~7을 출력하고 2진법으로 계산하는 간단한 실험 이었다.실험2에서는 수업시간에 논리회로를 이용하여 7-segment에 저항과 ... 7-segment를 시물레이션을 하여 캡쳐)2) 진리표에 맞게 3변수 카르노 맵 작성BCA000111100101110111BCA000111100111111010BCA000111100111011111BCA000111100101110101BCA000111100100110001BCA000111100100011101BCA0001111000011111013
    리포트 | 7페이지 | 3,000원 | 등록일 2012.05.20
  • 디지털논리회로 MULTISIM 레포트 7-segment
    BCD-7segment를 multisim을 통해 구현한 것은 정상적으로 작동하는 것으로 보였다. ... 디지털논리회로MULTISIM 레포트주제 : Seven-segment담당 교수: 송낙운 교수님분반: 2분반 화수목8학번: B415060이름: 김희동레포트 주제로 Seven-segment를 ... 특히 시험 공부를 하면 많은 시간을 투자한 부분이기도 했다. multism을 통해 구현하기 앞서 Seven-segment에 대해 알아보도록 하겠다.이론Seven-segment display는
    리포트 | 11페이지 | 1,000원 | 등록일 2017.06.28
  • Xilinx-ISE 응용 레포트 (7-segment)
    디지털논리회로Xilinx-ISE 레포트주제 : Seven-segment분반: 2분반 화수목8Report주제로 7-segment를 선택한 이유는 저번에 multisim을 이용하여 7- ... 그리고 디지털 논리회로 실험 과목도 7-segment를 응용하는 실험이 있었기 때문에 더더욱 주제로 하고자 하였다.이론Seven-segment display는 표시 장치의 일종으로, ... 사실 이전에도 BCD-7segment과제를 했었기 때문에 더욱 실패확률이 낮았을 것이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.28 | 수정일 2018.04.21
  • 7-segment 제어
    스위치 3개를 이용하여 7-segment를 0~7까지 제어1) 3개의 변수를 이용한 진리표 작성스위치가 세 개 이므로 총 8개 가지 경우의 수가 나온다.10 진수SW ASW BSW ... (불들어옴)나머지는 위와 같은 방법으로 불이 들어오지 않는다느낀점이번 실험은 슬라이드 스위치와 7-segment를 이용해서 아라비아 숫자를 표현해 보는 것이었다. ... f에서 AC'에서 A가 ON이고 C가 OFF 때문에 통과 가능하다 (불 들어옴)G에서 BC'에서 B가 ON이고 C가 OFF 때문에 통과가능하다 (불 들어옴)숫자 6 출력을 살펴보기7
    리포트 | 6페이지 | 1,000원 | 등록일 2013.04.21
  • 메카트로닉스 7-segment 프로그램 소스입니다.
    리포트 | 1,000원 | 등록일 2016.11.28 | 수정일 2016.12.07
  • 7주차 Decoder / Encoder / 7-segment LED
    .- 7-segment LED decoder를 이해하고, 특성을 실험으로 익힌다.실험과정1.4X2 encoder의 회로를 구현하기 위해 Quartrus ll를 이용하여 회로를 구현
    리포트 | 10페이지 | 1,000원 | 등록일 2014.10.12
  • 7segment 구현
    For example, "1" is displayed by lighting segments 2 and 3, "2" by lighting segments 1, 2, 7, 5 and 4 ... , and "8" by lighting all seven segments. ... The product (N1 * N2) is to be displayed in decimal but lighting appropriate segments of the seven-segment
    리포트 | 16페이지 | 4,000원 | 등록일 2010.11.06 | 수정일 2022.08.11
  • 7segment설계
    A반 4조7 segment 설계목 적 : Xilinx의 Schematic tool을 사용하여 seven-segment display driver을 만들어 보자설계방법 :1. seven-segment ... 결과값이 이론값과 맞는지 확인한다.seven-segment display driver1.seven-segment truth tableDigitwxyzabcdefg*********** ... Xilinx Simulation을 사용하여 파형을 표현한다.7.
    리포트 | 12페이지 | 1,000원 | 등록일 2010.06.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:44 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대