• 통큰쿠폰이벤트-통합
  • 통합검색(66)
  • 리포트(62)
  • 시험자료(4)

"BCD가산기" 검색결과 41-60 / 66건

  • 4자리 가감산기 / 8421가산기 최종보고서
    따라서 그대로는 가산이 되지 않으며 다음과 같은 보정과정을 거쳐야 한다.① BCD 가산결과가 0부터 9일 때이 경우에는 2진 가산의 결과가 그대로 BCD의 가산 결과가 된다.② BCD ... BCD산기BCD산기 구성2진화 10진수는 4비트로 10진수의 한자리를 0부터 9까지 나타내고 있기 때문에 2진 가산 결과의 합은 10진수로는 2(0~9)로 0부터 18까지 된다 ... 가산 결과가 10부터 18일 때이 때에는 BCD는 0부터 9까지 밖에 나타낼 수 없으므로 BCD의 자리올림이 필요하며, 그 합에서 1010을 (-)하든지 0110(1010의 2의
    리포트 | 19페이지 | 2,000원 | 등록일 2010.09.19 | 수정일 2020.12.14
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 8장 병렬가산기 및 감산기
    [그림8-7] 4 bit 2진 병렬 가감산기[ C=Control signal 이며, C=1일 때는 감산기로, C=0 일 때는 가산기로 동작하도록 회로도를 구성함][표 8-2]에 주어진 ... [표 8-2] 4 bit 2진 병렬 가감산기 Output TableInputOutput10진수A10진수B10진수Y101010401001411100+101010-401006011014010040100810000 ... [그림 8-4] HA, FA를 이용한 BCD산기 그림[그림 8-5] 7483을 이용한 4 bit BCD산기? 사용 기자재 및 부품?
    리포트 | 7페이지 | 1,500원 | 등록일 2005.03.30
  • 산기와디코더
    디지털공학 및 실습평가가산기와 디코더 회로2012 . 10 . 12일1. 실험제목 : 가산기와 디코더 회로2. ... 디코더는 BCD코드를 입력하여 각각의 해당하는 10진수를 7세그먼트 표시장치로 출력하는 4개의 입력과 7개의 출력을 가진 디코더이다.5. ... 입력코드에 대해서는 M개의 출력 중 하나의 출력 만이 동적 HIGH(또는 LOW)가 되고 , 다른 나머지 출력은 LOW(또는 HIGH)가 된다.2) BCD-to-7세그먼트 디코더이
    리포트 | 10페이지 | 1,000원 | 등록일 2012.12.04
  • 자판기시스템(최종본)
    가감산기 S 에 0 이 입력되게 되면 가산이 되고 S 에 1 이 입력되게 되면 감산이 되는 회로의 기능을 하게 된다 .관련이론 3. ... 설계목표1. 10 진수 가산기 관련이론 BCD 코드는 16 진수 이기 때문에 합이 10 이상이면 6 을 더함으로서 0~9 까지의 값을 출력하도록 할 수 있다 .관련이론 2.
    리포트 | 12페이지 | 2,000원 | 등록일 2011.11.13
  • 멀티플렉스,디멀티플렉스
    라. 4비트 BCD 데이터를 입력받아서 10진수를 표현한다.다음 중 반대 개념이 아닌 것은? ... (예제)①②③④⑤●해독기부호기가산기디멀티플렉서멀티플렉서4x1 멀티플렉서에서 선택 입력은 최소 몇 비트이어야 하는가? ... (예제)①②③④⑤●해독기부호기가산기디멀티플렉서멀티플렉서디멀티플렉서에서 2n개의 출력 중에서 하나의 출력을 선택하기 위해서는 최소 몇 개의 선택선이 필요 하는가?
    리포트 | 20페이지 | 2,000원 | 등록일 2010.11.16
  • 보고서 11
    (두 개의 8비트 수를 더하기 위해 두 개의 74LS83A 가산기를 어떻게 직렬 연결해야 하는지)3.74LS83A가산기에서 C0입력의 기능은? ... .● 예제 : 4비트 2진 BCD 코드 변환기회로도와 2진수를 BCD로 변환 표.9이하면 그대로 출력, 10이하면 6(0110)을 더한다.비교기의 A입력이 2진수 1001보다 크면 ... 3만큼 증가시키는 것이니 Binary 1001 초과 -> BCD (+6) -> Excess-3 (+3) 이라 총 9 ( 1001 ) 을 증가함.● 추가 조사 결과1.
    리포트 | 13페이지 | 1,500원 | 등록일 2011.02.17
  • 실험 예비보고서 5주차 MSI/LSI 연산회로
    BCD 연산- 2개의 BCD (10진수)와 입력 캐리를 더하는 회로? 4-bit 2진가산기 + Binary-to-BCD code converter(코드 변환기)? ... BCD 코드 변환기0 ~ 9 : 0 0000 ~ 0 100110 : 0 1010 → 1 0000 (+0110)11 : 0 1011 → 1 0001 (+0110)12 : 0 1100
    리포트 | 2페이지 | 1,500원 | 등록일 2008.11.08
  • BCD 코드를 이용한 10진 가산기
    BCD 코드를 이용한 10진 가산기산기 (반가산기)Digital design May 6. 2008Chonnam Uiv Electronics- 1비트의 2개 2진수를 더하는 논리회로 ... 수를 합한 결과인 합 S(sum)과 올림수 C(carry)를 발생하는 회로반가산기입력X Y출력C S0 0 0 1 1 0 1 10 0 0 1 0 1 1 0S = XY C = XY가산기 ... design May 6. 2008Chonnam Uiv Electronics2개의 BCD숫자를 병렬로 합산하여 역시 BCD로 합의 숫자를 생성하는 회로BCD 코드BCD의 0~9까지의
    리포트 | 33페이지 | 3,000원 | 등록일 2008.10.05
  • 디지털 논리회로 VHDL 코딩 과제 4bit full adder 설계
    1. 실험목표이번 실험의 목표는 4bit full adder를 VHDL언어로 코딩하여 ModelSim프로그램으로 시뮬레이션하는 것이었다. (단 XOR를 사용하지 않는다.)2. 실험과정 및 소스코드4bit full adder를 작성하기에 앞서 4bit fulladder의..
    리포트 | 16페이지 | 2,000원 | 등록일 2014.10.13 | 수정일 2015.12.07
  • 논리회로 실험 결과레포트(가산기, 감산기, 디코더) 모든 그래프와 수식을 첨부한 레포트 입니다.
    (High 값을 가지다가, 각각에 해당되는 Clock수가 되면 Low값을 출력하게 된다.)※ 이 회로를 실험하고 나서 알게 된 점- BCD decade 카운터와 10진 디코더를 이용해서 ... Input012- 실험결과, 인풋 중 하나라도 값이 들어가지 않으면, 출력이 나오지않았기 때문에 카운터가 디코딩되려면 인풋이 모두 있어야 함을 알 수 있었다.3. 10진 디코더를 갖춘 BCD
    리포트 | 15페이지 | 2,000원 | 등록일 2008.02.28
  • 논리회로실험 결과보고서
    INPUT B에 연결하면 BCD Bi-Quinary카운터가 된다. ... 반복함.최하위 비트 (Q0)는 각 카운터펄스에 입력이 주어질 때마다 반전된다.Q0가 1->0(하강전이,↓)일 때 Q1의 값이 반전(보수)되고, 또 Q1의 그래서 16비트 리플캐리가산기의 ... 각 입력에 어떤 신호를 가져야 하는지 필요한 연결방법을 제시 하라.-> INPUT A,B는 클럭의 입력으로 OUTPUT중 QA를 INPUT B에 연결하면 BCD 카운터가 된 다.
    리포트 | 14페이지 | 4,000원 | 등록일 2009.12.10
  • [공학기술]∥. 조합논리회로(학습지도안)
    기본연산회로반가산기,전가산기,직병렬가산기반감산기,전감산기,병렬2진감산기3.여러가지 조합논리회로인코더 및 디코더멀터플렉서및 디멀터플렉서코드변환회로비교기곱셈기다단계HAND,NOR회로4. ... 병렬가산기각 가산기의 개념과 진리표를 알고 논리회로로 표현할 수 있다.비트의 연산에서 발생한 캐리에 유의하면서 회로를 구성한다.12/6(2)반감산기,전감산기,병렬2진감산기각 감산기의 ... 표준 BCD코드모든 코드의 기본이 되는 BCD(binary coded decimal interchange) 토드는 6비트로 하나의 문자를 나타낸다.
    리포트 | 21페이지 | 2,000원 | 등록일 2007.07.13
  • 디지털공학실험 11장 가산기 및 크기 비교기 (예비)
    즉 이들은 A>B 출력이 0이면 0이고 A>B 출력이 1이면 1이다.와비트는 항상0이므로 이들은 접지로 연결되어 있다.표 11-1 2진/BCD 변환가산기 입력비교기A>B출력비 고00000입력이 ... 9보다 크다.0110을 더하라.▶ 회로 시뮬레이션 해보기(2)가산기 입력비교기A>B출력비 고00011입력이 10보다 작다.0011을 더하라.11001입력이 9보다 크다.1001을 ... 강사가 지시한다면 회로를 구성하고 시험하라.♠ 참고 자료 ♠▶ 회로 시뮬레이션 해보기(1)가산기 입력비교기A>B출력비 고00000입력이 10보다 작다.0000을 더하라.10110입력이
    리포트 | 13페이지 | 2,500원 | 등록일 2010.04.06
  • 디지털회로 설계의 기초 4장 연습문제 풀이(설계 제외)
    이라 가정할 때 전체 가산시간을 구하시오.전체 지연 시간은 td = (4+3+2)+4(3+2) = 29[ns]4.3 올림수 예측기를 이용한 3비트 가산기 회로를 설계하시오.4.4 BCD가산기 ... 부호화된 10진수를 7-segment에 나타내기 위해서는 BCD코드를 7-segment의 요소들로 변환하는 회로가 필요하다. ... Input AND Gate*2 + Quad 2-Input OR Gate*1 = 600원(나)의 경우 3x8복호기*1 + Dual 4-Input NAND Gate*2 = 600원4.8 BCD코드로
    리포트 | 18페이지 | 1,000원 | 등록일 2007.12.01
  • 실험 예비보고서 3주차 논리 및 연산회로
    컴퓨터는 전가산기를 반가사산기라고 하는 2개의 입력 회로와 조함시켜 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다.boolean 함수 : A?B? ... 고리 내의 모든 사각형에서 같은 형태로 나타난 변수는 최종 표현식에 남아 있어야 한다.◇ BCD to 2421 부호변환기기의 진리표INPUTSOUTPUTSABCDWXYZ00000000111111110000111100001111001100110011001101010101010101010000011111XXXXXX0000101111XXXXXX0011010011XXXXXX0101010101XXXXXX
    리포트 | 4페이지 | 1,500원 | 등록일 2008.11.08
  • 7487,7483 을 이용한 4자리 2진 감가산 회로 설계 (진보01기)
    1. 명 제- Quartus tool을 이용하여 74H87와 74LS83 IC를 사용하여 4자리 2진수를 가산과 함께 감산 할 수 있는 회로를 설계한다.2. 목 적1) 진-보-0-1 기의 동작을 이해한다2) Quartus tool을 이용하여 4자리 2진수의 가감산회로를..
    리포트 | 5페이지 | 2,500원 | 등록일 2011.12.03 | 수정일 2021.04.11
  • 학습지도안-전자계산기일반
    중앙 처리 장치 구성1) 연산장치(ALU)의 구성 : 산술 연산 및 논리 연산을 수행누산기(Accumulator)산술 연산 및 논리 연산의 결과를 일시적으로 기억하는 레지스터가산기데이터 ... / OR회로 이용)Decorder (해독기) : n -> 2n (2진수,BCD->10진수 / AND회로 이용)멀티플렉서(MUX) : 2n-- n --> 1 (여러대의 장치 동시에 ... 회로전감산기 : 반감산기 2개와 1개의 OR gate로 구성병렬가산기 : ALU에서 산술연산을 수행하기 위한 회로Encorder (부호기) : 2n -> n (10진수->2진수,BCD
    시험자료 | 25페이지 | 2,000원 | 등록일 2010.01.07 | 수정일 2015.10.14
  • [VHDL] 가산기
    이 논리식을 이용하여 BCD가산기 회로를 완성하면 아래 그림과 같다. ... 따라서 이 출력 값을 그림 6-7(b)와 같이 BCD 코드로 변환만 해준다면, 2개의 BCD 숫자를 더해 그 결과를 다시 BCD로 출력해주는 BCD가산기를 만들 수 있게 된다. ... 따라서 BCD가산기의 경우 자리올림(carry)까지 포함하면 입력이 4+4+1=9개이고, 출력이 4+1=5개가 된다.
    리포트 | 18페이지 | 3,000원 | 등록일 2003.08.13
  • [디지털] BCD산기
    BCD산기건국대학교 김주원프로젝트 목표가감산, 곱셈 기능을 BCD연산을 통해 구현 추가 기능에 대해 탈착식 업그레이드가 가능한 디바이스 구현문제점단점 - 하드웨어로만 이루어졌고 ... 줌.각 경우의 수에 따른 보조적인 보정기 회로 설계.가산기 알고리즘17 55 720001 0111 0101 0101 0110 11000111 0010`잘못된 답원하는 답보정기 회로가산기 ... 업그레이드 - 탈착식 업그레이드가 가능하도록 설 계(각층의 연결부위에 전선을 사용하지 않고 헤더와 소켓부분 사용)회로도전체회로도 입력부 연산부 출력부전체회로도연산표시부입출력부멀티플렉서가산기산기곱셈기
    리포트 | 22페이지 | 1,000원 | 등록일 2003.03.11
  • [논리회로] 7 세그먼터 디코더
    일 예로 택시의 요금계산기, 또 더욱 가까이에는 전자 디지털 시계 등이 있다. ... BCD 7-세그먼트 디코더(Segment Decoder)【기본이론】우리는 일상 생활에서 자주 세그먼트를 접하게 된다. ... 요금계산기는 LED라고 하는 발광소자를 이용한 세그먼트이고, 디지털 손목시계는 LCD라고 하는 액정을 이용한 세그먼트이다.
    리포트 | 6페이지 | 2,000원 | 등록일 2003.08.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:44 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대