• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,613)
  • 리포트(1,597)
  • 시험자료(9)
  • 논문(2)
  • 서식(2)
  • 자기소개서(1)
  • 방송통신대(1)
  • 노하우(1)

"TB 케이스." 검색결과 41-60 / 1,613건

  • 클럭분주회로설계 verilog 설계
    beginstate = S0;count=19'b0000000000000000000;end else state=next_state;always@(count or posedge clk)case ... next_state=S1; //49999919'b0000000000000000001 : next_state=S0;endcasealways@(state or posedge clk)case ... ;reg clk;reg rst;ClockDivider tb(.clk(clk), .rst(rst));initialbegin$dumpfile("test_ClockDivider.vcd")
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 디지털 시스템 설계 및 실습 인코더 설계 verilog
    output V;output[2:0] X;reg V;reg [2:0] X;always @(d)beginif(d == 8'h00) beginV=0;X=3'h0;endelse beginV=1;case ... `timescale 1ns/10psmodule tb_Encoder;reg [7:0] d;wire V;wire [2:0] X;Encoder tb(.d(d), .V(V), .X(X)); ... = 3'h3;8'h10 : X = 3'h4;8'h20 : X = 3'h5;8'h40 : X = 3'h6;8'h80 : X = 3'h7;endcaseendendendmodule2) tb_Encoder.v
    리포트 | 4페이지 | 1,000원 | 등록일 2020.11.02
  • 성인간호학 CASE STUDY(간호과정3개)
    성인간호학1case study1. 5분 Description1) 환자의 인적사항성명: 서00성별/연령: 남/63키/몸무게/BMI: 163.3cm/49.98kg/18.67(저체중)2) ... 병력-주호소: 숨쉬기 힘듬-현병력: Tb(결핵): 2010. ... 치료 권유받고 ER통해 pneumonia로 입원3)주호소: cough, fever(내원10일전부터 간헐적으로 발생하고 가래, 숨쉬기 힘듬, 가슴이 답답함도 나타난다)4) 현병력: Tb
    리포트 | 17페이지 | 1,500원 | 등록일 2022.12.10 | 수정일 2023.02.15
  • ( A+ 급성통증, 감염의 위험 ) Rt. ureter stone, hydronephrosis, APN case study
    GS case study- Rt. ureter stone- hydronephrosis- APN실습지실습기간학번이름1) Case study가. 자료수집A. ... (L/C)2014 pul Tb dx. - adm Tx. (본원): 완치받았다 함.2018 Spinal Stenosis ? ... , Cance)의심되지 않음.Negativeold TB sequale→Post OP lung complication의 intermediate risk로 판단2020.07.06KUB(
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.17
  • 폐결핵 case study 간호진단 3개, 간호과정 1개 입니다
    성인간호학실습2case study- Pulmonary tuberculosis과목명실습 지도교수실습지실습기간반 / 학번이름목차1. 대상자 선정 이유2. ... 배양검사를 시행하고 도말검사 결과는 24시간 내에 통보- 배양검사 결과는 최대한 빠르게 통보결핵균 핵산증폭 검사- 결핵이 의심될 때 도말 및 배양검사와 함께 결핵균 핵산증폭 검사(TB-PCR
    리포트 | 21페이지 | 2,500원 | 등록일 2022.04.04
  • A+ AF케이스, 심방세동케이스(간호과정5, 간호사정, 진단검사결과, 근거 등)
    Atrial fibrillation 케이스연령: 성별:입원일: 사례연구 기간:지도 교수: 임상현장지도자:실습 병원 및 병동 :I. 서론 ( 환자 소개 및 임상 문제 )▶ 진단명? ... *01 PO 08:00Diabex 250mg/T 1TB*01 PO @18:00Torem 10mg 1TB*01 PO @18:00Multaq 400mg x2 1TB*01 PO @18:00Note ... 대화) 0.5TB*01 PO @21:002.
    리포트 | 23페이지 | 2,500원 | 등록일 2023.01.24 | 수정일 2023.05.04
  • 결핵의 진단 호흡기내과 발표자료
    DISEASE REVIEW - 결핵의 진단 케이스 환자 적용점결핵의 진단 가장 기본이 되는 3가지 검사법 = 흉부 X선 / 객담 항산균 도말 / 객담 항산균 배양 1) 피부반응 검사 ... 액체 배지가 좀더 빠른 검사 가능 6) TB PCR - 결핵균에만 특이하게 존재하는 DNA를 증폭결핵의 진단 6) TB PCR * Xpert MTB/RIF - 자동화된 rtPCR검사 ... 특히 Latent TB 검사시 이용결핵의 진단결핵의 진단 3) 방사선 검사 병변의 위치는 주로 상부. 상엽의 apical post. Segment(m/c) 하엽의 sup.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.01.25
  • IM study case(질병과 관련된 급성 통증, 질병과 관련된 비효율적인 조직관류, CAG 검사와 관련된 출혈의 위험, CAG 검사와 관련된 감염의 위험)
    라고 말했으며, 무리하게 움직이지 않겠다고 말했다.)케이스 대상자 진단명 : Atypical chest pain간호진단: CAG 검사와 관련된 감염의 위험간호사정목표간호 계획이론적 ... 투여 약물약명(성분명)용량과 용법작용&적응증부작용Atacand plus/T1TB PO본태성 고혈압등통증, 현기증, 호흡감염, 오심, 손상, 피로, 복통Crestor 10mg/T1TB ... 처방에 따라 Antacand plus/T 1TB PO를 복용하고, 두통, 등 통증 등의 부작용을 확인한다.4.
    리포트 | 20페이지 | 2,000원 | 등록일 2023.11.20
  • 정신간호학실습 - A+/정신병동/폐쇄병동/조현병/Schizophrenia/정신간호학
    정신간호학 실습CASE STUDY-Paranoid Schizophrenia-실습 기간실습지과목학번담당교수이름6. 환자 간호사례 보고서A. ... 시야몽롱, 두통, 떨림, 근육경련, 기립성 저혈압, 구역, 고열Abilify5mg/T 1 TB조현병속메스꺼움, 구토, 변비, 두통, 어지러움, 불안, 불면Ativan1mg/T 1 TB1 ... /T 3.5 TB약제내성 조현병 환자 또는 심한 추체외로계 이상반응(특히, 지연이상운동)을 일으키는 조현병 환자의 치료졸음, 어지러움, 맥박증가, 변비, 과다한 침분비, 체중증가,
    리포트 | 23페이지 | 2,500원 | 등록일 2023.03.19
  • Electrical Equipment & Field Device의 Tagging Procudure 표준화
    Define 단계 비즈니스 케이스 문제 기술서 Vendor 별 Termination type 및 tag 불일치로 오작 기회증가 , Connection Diagram 지연. ... ALWAYS STICK WITH TB TAG TB 2ST PHASE IN 3 PHASE POWER TERMINAL BLOCK T O NUMBER ALPHABET TB1A-T1A TB ... ALPHABET TB1A-L1A TB UNPOWERED PHASE IN 2 PHASE POWER TERMINAL BLOCK N O NUMBER ALPHABET TB1A-N1A TB
    리포트 | 16페이지 | 3,000원 | 등록일 2020.12.02
  • IM실습케이스 (DM, HD) A+자료입니다. 간호진단 5개, 간호과정 2개
    case study-DM(당뇨병), CKD(만성신부전), HD(혈액투석)-간호사례연구 대상자의 진단명 : DM(당뇨병), CKD(만성신부전), HD(혈액투석)연령: -성별: -입원일 ... 안되어 Dilatrend 25mg/T po 1회, minoxidil 5mg/T po1회, Lantus solostar 20IU By sc x 1회, Tenelia 20mg/T 1TB ... po 1회혈당을 감소시키는 약 (메트포르민 성분 포함된 복합혈당강하제)저혈당, 변비, 설사, 오심, 구토, 식욕감퇴, 발진, 가려움, 권태감Dilatrend 25mg/T1TB po
    리포트 | 13페이지 | 2,000원 | 등록일 2022.03.14 | 수정일 2022.03.18
  • (A+) IM2 케이스 내과 케이스 Acute lymphoblastic leukemia (총 21페이지, 간호진단 및 간호과정 2개)
    2021학년도 1학기성인간호학 실습 2IM2 Case Study실습 기간2021년 5월 10일~ 2021년 5월 14일실습지과목성인간호학실습 2학번담당교수이름- Acute lymphoblastic ... *01 아침 식후 30분 PO for 7 daysCravit 500mg.T 1TB *01 아침 식후 30분 PO for 7 daysSeptrin 1 TB *01 아침 식후 30분 ... 12.5mg 1 TB *자기 전 PO for 7 daysLyrica 75mg 1 CP *02 아침 저녁 식후 PO for 7 daysNucynta ER 100mg 1TB *02 아침저녁
    리포트 | 21페이지 | 2,000원 | 등록일 2021.08.29
  • A+담낭염케이스(간호진단2. 간호과정2 꼼꼼, 문헌고찰, 간호사정)
    성인간호학 실습Case Study- Acute Cholecystitis가. 자료수집A. ... 20mg/T(JW) 1.00TB*아침 식전 30분 PO for 119Days →본원Palpal Chewable 100mg/T(한미) 1TB *01 의사 지시대로 PO for 10Days ... 소화불량증Citopcin 250mg/T1 TB *02 PO항균제힘줄염 및 힘줄파열, 말초신경병증, 중추신경계 효과위장관감염증, 담즙분기)D.
    리포트 | 14페이지 | 3,000원 | 등록일 2023.01.24
  • 디지털시스템실험 2주차 예비보고서
    시뮬레이션을 하기 위하여 다음 그림과 같이 Simulate > Start Simulation 메뉴를 선택한다.Design 탭의 Work > LAB01_INTRODUCTION_TB를 ... 왼쪽 Instance 창에서 LAB01_INTRODUCTION_TB를 선택하고 마우스 오른쪽 버튼을 눌러 Add Wave 항목을 선택하여 Wave 창에 TestBench 입, 출력 ... 위하여 ModelSim을 통해 시뮬레이션 한다.다음 그림과 같은 파일을 작성하고 C:\DS_2013\LAB01_INTRODUCTION\RTL 폴더에LAB01_INTRODUCTION_TB.v
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 결핵 문헌고찰 및 케이스스터디 (환자 데이터수집 포함, 간호진단 및 간호과정 불포함)
    [Case study]TuberculosisⅠ. 서론1.
    리포트 | 18페이지 | 3,000원 | 등록일 2020.02.26
  • 갑상선 암 (thyroid cancer) 간호과정 성인간호학
    있거나 징후가 있는 환자,심한 신부전환자진해거담제Muteran(Acetylcysteine)객담배출곤란 개선2 AM IV *02, 600mg부작용: 구역, 구토, 설사, 가려움2) Case ... 질환자, 간질환 환자제산제Gaster-D (Famotidine)위·십이지장궤양, 문합부궤양, 상부소화관출혈(소화성궤양, 급성스트레스궤양, 출혈성위염에 의한), 역류성식도염 치료1 TB ... PO *02, 90mg부작용: 복부팽만금기: 본제 과민증 환자지사제none완화제none하제none이뇨제Lasix(furosemide)고혈압, 부종 치료 및 예방2 TB PO *02
    리포트 | 13페이지 | 2,000원 | 등록일 2022.06.21
  • 여성건강간호학실습 - A+/산부인과/OBGY/자궁질탈출/난소암/난소난관절제술/간호과정/케이스
    여성건강간호학 실습GY Case Study실습 기간실습지과목학번담당교수이름- Uterovaginal prolapse -- Benign ovarian tumor -- salpingo-oophorectomy ... THRA(Total Hip Replacement Arthroplasty, 전고관절치환술) 받으셨고, 2년 전쯤 local에서 HTN 진단받아 Twynsta 40/5mg/T 1TB * ... 투여되는 것을 관찰하였다.→ 1시간 후 NRS 6점에서 3점으로 감소하였다.→ PCA는 XX/XX remove 되었고 추후 통증 관리는 Tylenol-ER(8시간) 650mg/T 2 TB
    리포트 | 18페이지 | 2,500원 | 등록일 2023.04.14
  • 폐결핵 문헌고찰 질병보고서 Pulmonary Tuberculosis Disease Study Report
    degeneration or caseation)를 나타내게 된다. ... , 결핵균은 이 때 육아종과 함께 제거되지 않고 잠복하게 되며 결절 중앙에 사멸된 결핵균과 백혈구, 괴사된 폐조직 등이 모여서 결핵의 특징인 치즈변성 괴사(또는 치즈화, 건락화, caseous ... tuberculosis Polymerase chain reaction;TB-PCR)를 시행한다.
    리포트 | 8페이지 | 2,500원 | 등록일 2021.03.05
  • [성인간호학실습/A+] 극찬받은 심근경색 CASE STUDY (간호진단, 과정 5개)
    성인간호학2 실습과제(비대면)심근경색casestudy케이스 대상자 진단명Myocardial Infarction[2. 간호 문제목록 및 수행계획 작성]1. ... Herben 90mg 1TB PO BID부작용 : 서맥, 기립성 저혈압, 구갈, 기관지 경련?Acertil 4mg 0.5TB PO QD부작용 : 저혈압, 기립성 저혈압? ... Dilatrend 6.25mg 1TB PO QD부작용 : 저혈압, 어지러움, 피로, 콜레스테롤 증가?
    리포트 | 17페이지 | 1,500원 | 등록일 2021.08.05 | 수정일 2021.09.01
  • (A+) IM1 케이스 내과 케이스 ESRD, DM, hemodialysis, dyspnea (총 21페이지, 간호과정 5개)
    2021학년도 1학기성인간호학 실습 1IM1 Case Study실습 기간실습지과목학번담당교수이름- ESRD, DM, hemodialysis, dyspnea,hyperglycemia, ... :00요산 낮춤비정상적 간효소수치Renalmin1TB PO *01 08:00비타민 B,C 보급Lasix40mg 1TB PO *02이뇨제구역, 구토, 설사Adalat Oros30mg ... 1TB PO *02고혈압약 (칼슘통로 차단제)현기증, 두통Valsarbell40mg 1PK PO *01 08:00고혈압약현기증, 두통Kashutsuspension20ml 1TB PO
    리포트 | 21페이지 | 2,000원 | 등록일 2021.08.29
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:21 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대