• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,613)
  • 리포트(1,597)
  • 시험자료(9)
  • 논문(2)
  • 서식(2)
  • 자기소개서(1)
  • 방송통신대(1)
  • 노하우(1)

"TB 케이스." 검색결과 61-80 / 1,613건

  • 아동간호학실습 NICU(신생아중환자실) 케이스 - 급성호흡곤란증후군(ARDS) 간호진단 5개+간호과정 2개 (A+)
    현재는 TB 5.1mg/dl로 normal한 양상 보이고 있습니다.) ... 사례보고서 양식케이스 환아 진단명:급성호흡곤란증후군(ARDS, Acute Respiratory Distress Syndrome)[1. ... 현재는 CRP 0.02로 normal한 양상 보이고 있습니다. 8/16 TB 4.9mg/dl에 황달 증상 보여 phototherapy 시작하였고, 특별한 탈수 증상 없이 8/22 guide
    리포트 | 15페이지 | 2,500원 | 등록일 2023.09.13
  • [성인간호학실습 A+] 폐결핵 케이스, 결핵 케이스, pulmonary tuberculosis case,pulmonary tuberculosis case study
    동기호흡기내과란 병동에 맞게 호흡기 관련 질병에 대해 연구 해 보고 싶었고, 그중에서도 성인간호학에서 다루었던 결핵에 관심이 생겨 폐결핵에 대해 공부하고 싶은 생각이 들어 이번 케이스로 ... 증상Tb는 매우 천천히 발병하므로 초기에는 피로감과 신경 예민이 나타나거나 Tb가 상당히 진전 될 때까지는 무증상일 수 있다.결핵균은 증식하면서 우리 몸의 영양분을 소모시키고, 조직과 ... 입원일 : 00년 00월 00일- 입원경로 : ER, 눕는 차- 입원동기 : Pulmonary Tb 진단받아 Tb medication 복용중인 분으로5일 전부터 하루 종일 hiccup하며
    리포트 | 21페이지 | 3,500원 | 등록일 2021.07.21 | 수정일 2024.05.09
  • A+폐렴케이스(간호진단4, 간호과정4, 문헌고찰, 간호사정 등)
    성인간호학 실습Case Study- Aspiration pneumonia가. 자료수집A. ... T(대웅)1 TB *01 PO /Pow.혈압강하제가슴통증, 말초부종, 현기증, 복통, 소화불량, 근육통본태성 고혈압Norvasc 5mg/T(화이자)1 TB *01 PO /Pow. @ ... /Pow.중추신경용약수면장애, 불안, 망상, 헛소리, 심실세동, 혈압저하파킨슨증후군Tizarid 1mg/T(광동)1 TB /Pow근이완제졸음, 어지러움, 저혈압, 입마름, 피로근육
    리포트 | 29페이지 | 3,000원 | 등록일 2023.01.24
  • 아동간호학 (가와사키질환) 케이스 (고체온, 체액부족의 위험 간호과정 포함)
    아동간호학 케이스가와사키 질환- 고체온, 체액부족의 위험 간호과정 포함사례보고서 양식케이스 환자 진단명:가와사키 질환 (Kawasaki Disease)[1. ... 에서 scanty MR and scanty pericardial effusion 관찰되었다. 10/16 08:35 소염 진통 및 혈전예방 목적으로 Aspirin 500mg 0.5 TB ... 에서 scanty MR and scanty pericardial effusion 관찰되었다. 10/16 08:35 소염 진통 및 혈전예방 목적으로 Aspirin 500mg 0.5 TB
    리포트 | 13페이지 | 2,000원 | 등록일 2023.07.13 | 수정일 2023.07.14
  • 코로나19가 사회 전반에 끼칠 영향
    and by definition, especially from the one who is already infected or is doubtful of it unlike the case ... 2019106-20053 김형우The book demonstrates the metaphorical differences between two dreadful diseases, TB ... I think that nowadays’ virus is the completely different from the old cancer of TB, so we need a slight
    리포트 | 2페이지 | 1,000원 | 등록일 2021.12.05
  • 간호관리학 케이스스터디(casestudy) - 전문직간 협력 및 조정을 중심으로 (진단3개, 과정없음)
    간호관리학실습 CASE STUDY: 협력 및 조정 사례과 목간호관리학실습실습기간이 름실습 장소지도교수님Ⅰ. 서론1. ... 감사합니다.self med)글루리아드정 500/5mg 1TB QD[9]자디앙정25mg 1TB QD[9]수바로오디정5mg 1TB QD[9]란투스 40IU [21]회신(내분비내과)24.03.212 ... foot있으셔서 이렇게 약 들어가고 있고 항문 쪽으로는 self약 도포중입니다.3-21 Alb ---(2.7), 알부민주20% 1BT(3-20)3-21 K ---(3.4), 케이콘틴서방정 1TB
    리포트 | 11페이지 | 2,500원 | 등록일 2024.05.26
  • [간호학과 CASE STUDY] 기본간호학/담도결석/간호과정 3개
    기본간호학실습CASE STUDY목차1. 대상자 정보(1) 기본 정보 및 과거력(2) 진단검사2. 입원 후 제공된 투약 및 처치(1) 경과기록(2) 간호중재(3) 투약3. ... QID)Nexium 40mg (IVQD)Magnesium Oxide 2TB (아침 식후 30분)Rowachol 2CP (아침 식후 30분)12:09 Tramadol HCl 50mg ... (IVTID)13:00 Magnesium Oxide 2TB (식후 30분)Rowachol 2CP (식후 30분)16:00 N/S 100mL (IVQD)Tazolactam 4500mg
    리포트 | 23페이지 | 2,500원 | 등록일 2023.08.28
  • OS CASE STUDY septic arthrisis 관절염
    CASE STUDY- OS (Septic arthritis, RCT) -< 목 차 >A. clinical data ????????????????????????? ... )본원2019-10-10Torem 2.5mg/T 1TB *01 아침식후 30분 PO for 98 Days(이뇨제, 고혈압치료제)본원2019-10-10Concor 2.5mg/T 0.5TB ... *01 아침식후 30분 PO for 98 Days(정신신경용제, 우울장애, 공항장애, 범불안장애 치료)본원2019-10-10Lipilou 20mg/T 1TB *01 아침식후 30분
    리포트 | 18페이지 | 2,000원 | 등록일 2020.04.20
  • [A+ 척추관 협착증 CASE STUDY] 문헌고찰, 간호과정, 간호진단 2개, 결론 및 제언
    사례연구성인간호학 CASE STUDYSpinal stenosis목 차Ⅰ. 서론1. ... *2 POTargin CR 10/5mg/T(먼디파마)1 TB*2 POTargin CR 10/5mg/T(먼디파마)1 TB*2 PO2) 투약 기록지상품명화학명대상자(환자)투여용량, 용법주요작용부작용주의할 ... 점Claza CR 200mg/T아세클로페낙1TB *01 PO해열.진통.소염제류마티스관절염, 강직척추염, 골관절염(퇴행관절염) 및 견갑상완골의 관절주위염, 요통, 좌골통, 비관절성
    리포트 | 32페이지 | 2,500원 | 등록일 2023.11.28
  • 성인간호학 OS 압박골절 케이스스터디
    .~2023.03.31Case study실습지실습기간2023.03.20~2023.03.31학번이름가. 자료수집A. ... 1 TB *03 PO기타의 소화기관용약어지럼증, 변비, 심계항진, 가려움증기능성 소화불량증Acetaminophen300mg/T1 TB *03 PO해열, 진통, 소염제과민증, 간기능 ... 어지러움을 유발하는 약물과 부작용을 확인한다.투약3/24Opalmon 5mcg/T 1 TB *02 POKallikrein 50KU/T 1 T
    리포트 | 29페이지 | 2,500원 | 등록일 2023.09.03
  • 성인간호학, COPD(만성폐쇄성폐질환) Case Study(케이스스터디), 분비물 축적과 관련된 비효과적 기도 청결
    성인간호Case Study과목담당교수님실습지부서학번/이름실습기간CASE 4(내과계_COPD)General Information성명: 김 OO연령: 63세성별: M결혼상태: 기혼교육정도 ... 과거질환: 호흡기질환시기: 20XX:진단명: TB(Tuberculosis)? ... 20XX년 XX월 본원 내과에서 pneumonia, 200XX년 타병원에서 TB 진단받은 과거력 있음?
    리포트 | 19페이지 | 2,500원 | 등록일 2022.12.01
  • A++++보장!!! 결핵 CaseStudy Tuberculosis
    Tuberculosis CASE STUDY과목명 :학년반 :학 번 :이 름 :교수명 :주증상? ... PCR Tb ()?stain & culture ()영양 ? 지표 평가IBW ... 최근 6개월 정도 기침, 가래 심하여 통영 적십자 병원에서 chest CT상 양측 상엽 공동성 병변 관찰됨 ⇒ r/o TB진단 ⇒ 큰 병원 권유 받아 입원간호를 적용하고자 하는 이유
    리포트 | 8페이지 | 1,000원 | 등록일 2020.07.01
  • A+골절케이스(OS, 고관절치환술, 간호과정3, 간호진단3, 간호사정 등)
    2021학년도 2학기성인간호학 실습OS Case Study- Closed fracture (Fx) of acetabulum -학번성명실습기관(병동)실습기간A. ... @08:00혈압강하제두통, 어지러움, 소화불량, 심계항진본태성 고혈압Gaspiran 5mg/T(영진)=> 지참약대체1 TB *02 PO소화제두드러기, 발진, 부종, 복부팽만감속쓰림 ... , 구역, 수토Jardiance 10mg/T(베링거인겔하임)1 TB *01 PO @18:00당뇨병용제감염, 미각이상, 가려움증, 배뇨 증가제 2형 당뇨병Glucophage 500mg
    리포트 | 19페이지 | 3,000원 | 등록일 2023.01.24
  • 정형외과 케이스- Developmental dysplasia
    진단받고 수술 예정으로 입원 .P/ Hx ) DM/HTN/Tb./Hepatitis (-/-/-/-) Asthma (-) Op. ... Case Presentation 병원 정형외과 본과 4 학년홍ㅇㅇ 15 month/F C/C) Limping gait (onset: 2months ago) P/I) 2 개월 전 보행을
    리포트 | 11페이지 | 1,000원 | 등록일 2020.06.04
  • A+ 성인간호학 Case Study 뇌경색 embolic infarction 만점 사례보고서
    Case study 양식가. Nursing DiagnosisNursing diagnosis (우선순위에 따라 서술하기)1. ... 처방에 따라 항응고약물을 투여함.날짜내용11/28Astrix 100mg/C(보령바이오파마) 1CP x01 PO /Pow.Plavitor 75mg/T(동아ST) 1TB *01 PO / ... Pow.11/29Astrix 100mg/C(보령바이오파마) 1CP x01 PO /Pow.Plavix 75mg/T(한독) 1TB x01 PO /Pow.11/30Astrix 100mg
    리포트 | 29페이지 | 3,000원 | 등록일 2024.06.18 | 수정일 2024.06.19
  • 흉막삼출과 흉수천자(chest tube)
    악성 흉막 삼출(Malignant pleural effusion) CASE정의 : 흉수는 원인 질환에 의해 흉막에서 흡수되는 체액보다 생성되는 체액이 많아질 때 발생? ... (pleural)(4/26 #2) 중보no 결과(-)■pleuralAFB stain (형광법)#3 (4/26) noAFB 배양 (고체배지.액체배지)#3 (4/26) 중보 결과(-)TB-PCR
    리포트 | 1페이지 | 3,000원 | 등록일 2022.05.04 | 수정일 2022.08.10
  • (아동실습 A+ 보장드립니다) 아동간호학 CASE STUDY _ 신생아 황달 _ 간호진단 3개 및 자세한 간호중재 5개 이상
    아동간호학CASE STUDY< 신생아 황달 >1. ... TB 수치 증가는 고빌리루빈혈증을 유발하기 때문이다.3. 수유량이 부족하며 황달이 발생한거라면, 탈수가 쉽게 진행된다. ... 14:37질병과정5월 30일 출생 당일, 전반적인 피부건조와 겨드랑이 발적이 관찰되었습니다.6월 2일 첫 Total Bilirubin 검사 결과 10.6mg/dL에서 6월 3일 TB
    리포트 | 19페이지 | 2,500원 | 등록일 2024.06.06 | 수정일 2024.06.07
  • HCC/A+받은 Report/문헌고찰/간호진단9개/간호과정3개
    report- Case Study : HCC -과목명담당교수학과명이름제출일차 례Ⅰ 문헌 고찰 ------ P. 3Ⅱ CASE 환자 사정 ------ P. 4Ⅲ CASE 간호 진단 - ... 1주일 전부터 n/v, poor oral intake 악화되어 Management와 RTx을 위해 Admission함.History takingHTN(-), DM(-), old TB ... CASE 환자 사정[66병동 #8 김○○]① 입원당시 상황Admission Date2017년 11월 16일Age/Sex58세(M)Height/Weight169.8cm/54.4kgCC
    리포트 | 14페이지 | 2,500원 | 등록일 2021.10.17 | 수정일 2022.03.12
  • 결핵약제 부작용
    관련 15mg/kg/day (1% 미만) 고용량 (25mg/kg 5%) 또는 신기능장애에서 발생.초기 염증성 병변(early inflammatory site)과 건락성 괴사 부위(caseous ... TB/DB를 실시한다. F/U 1개월 마다 실시한다. ... 결핵의 배제가 필수적 (병력, 진찰, 흉부 X 선 및 세균학적 검사) ► 검사 방법 1) 투베르쿨린 피부 반응 검사 (2-3일 반응 평가) 2) 인터페론 감마 분비 검사 (혈액 검사)TB
    리포트 | 55페이지 | 3,000원 | 등록일 2020.01.13
  • [조현병] 정신간호학실습 CASE STUDY A+++
    -> 1TB * 02 PO (공격적 행동 있을 시에는 IM으로 투여 가능)Proimer 5mg -> 1TB * 01 PO? ... 약물 요법 :Clozaril 100mg -> H*S 01 POBenzotropine 2mg -> 1TB * 01 POMago 500mg -> 1TB * 01 POAtivan 0.5mg ... CASE STUDY- Schizophrenia -실 습 장 소교 과 목담당교수님실 습 기 수제 출 일 자학번 / 이름목차Ⅰ. 서론 11. 연구의 필요성 12. 문헌고찰 1Ⅱ.
    리포트 | 31페이지 | 3,000원 | 등록일 2020.11.13 | 수정일 2022.04.29
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:32 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대