• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,613)
  • 리포트(1,597)
  • 시험자료(9)
  • 논문(2)
  • 서식(2)
  • 자기소개서(1)
  • 방송통신대(1)
  • 노하우(1)

"TB 케이스." 검색결과 141-160 / 1,613건

  • 가감산기 8bit addsub8 설계 베릴로그
    이 점을이용해서 case문으로 합으로 분기하며, 그 값에 따라 진리표 값대로 그대로 Cout,S값을 대입하는 방식으로 설계된 전가산기.Ex) A=1,B=1,Cin=0일 때 합은 6이므로 ... //-----------------------------------------------------------------------------//// Title : addsub8_tb ... // By : tb_verilog.pl ver. ver 1.2s////--------------------------------------------------------------
    리포트 | 18페이지 | 2,500원 | 등록일 2021.04.09
  • 성인간호학 실습Ⅱ lung cancer 간호과정
    성인간호학 실습Case StudyLung Cancer목차Ⅰ서론 : 연구의 필요성 및 case 선정 이유Ⅱ본론-1 : 질환고찰 : Lung CancerⅢ본론-2 : 대상자 소개 및 간호력Ⅳ본론 ... 금기-본제 또는 다른 잔틴계 유도체에 대한 과민반응자-암브록솔에 대한 과민반응자-급성 심근경색-저혈압-중증 간·신장애-수유부.Diabex 1000mg/T1TB, PO? ... 만성 대사성산증 및 케톤산증 기왕력자-중증감염증 또는 중증 외상성 전신장애 환자-수술 전후-영양불량·기아·쇠약, 뇌하수체기능부전·부신기능부전Glucovance 500mg/5mg/T1TB
    리포트 | 41페이지 | 3,000원 | 등록일 2019.09.01 | 수정일 2020.08.13
  • COPD 케이스 A+ 내용충실+칭찬받음 (간호진단 1개, 과정1개)
    Case StudyCOPD(만성폐쇄성폐질환)- 목차 -l.서론A. 연구의 필요성 및 목적B. 연구 대상 및 방법ll.본론A.문헌 고찰-1. 병력-2. 간호사정-3. 검사-4. ... 병력1) 현병력(present illness)내원 3년 6개월 전 LBP develop되었고, 당시 pul.Tbc진단 받았아서 Tb medication start 하였고 , 내원 3년 ... 5개월 전 low back pain aggravation되어 본원 NS방문하여 Tb spondylitis 진단받고 OP시행하였으며, 내원 3년 4개월 전 DOE, mild coughing
    리포트 | 13페이지 | 1,500원 | 등록일 2020.07.28
  • 폐결핵 케이스, 보건소실습, 지역사회간호학실습, 비효율적 기도청결 간호과정
    폐결핵 Case Study실습기관: OO구보건소일반적 사항성명김OO등록일자2019.3.28.성별남성연령72세동거가족없음직업무직병력당뇨(약 복용 중)증상 시작일2019.3.8.치료 기간2019.3.28 ... 진단)객담검사TB PCR +이 확인됨.검사 기간: 2019.3.8.~3.14.초회 세균검사 결과도말-(-)배양+검사일: 2019.3.28.배양(액체)+배양(고체)+검사일: 2019.4.2 ... chest x-ray 상 both upper 음영증가 관찰됨.2019년 3월 28일 pulmonary tuberculosis 진단받음.객담검사 결과TB PCR +이 확인됨.검사 기간
    리포트 | 5페이지 | 1,000원 | 등록일 2021.03.27 | 수정일 2022.03.05
  • A+ 보장!! 간호학과 실습 성인간호학 CASE STUDY 폐렴_Pneumonia 간호과정(문헌고찰+간호진단 3개 포함) !!
    성인 간호학Ⅳ Case Study- 폐렴(Pneumonia) -제출일과목명성인 간호학Ⅳ 실습담당 교수실습 기간실습 병원실습 부서학번 / 이름●목차●Ⅰ. ... (기록상 2000년도)수술 관련 질병(-)(-)대상자 특이 사항- 과거 TB 진단을 받은 적 있어 3개월간 약 복용한 적 있으나 임신 이후 약 복용 중단함. ... 정밀 검사 후 심장 기형이 있음을 알게 되었고 TB는 심장 기형으로 인해 오진된 것 같다고 표현함.- 심장 기형의 존재는 알고 있었으나 진단명에 대해서는 전혀 모르고 있음.3.
    리포트 | 44페이지 | 3,000원 | 등록일 2024.04.24 | 수정일 2024.06.25
  • (A+) OB 케이스 산부인과 케이스 Ovarian cancer (총 18페이지, 간호과정 2개)
    2021학년도 1학기여성건강간호학 실습OB Case Study실습 기간2021년 6월 7일~ 2021년 6월 11일실습지과목여성건강간호학실습 I학번담당교수이름- Ovarian cancer ... *PONSAIDs위불쾌감, 오심, 설사, 변비수술후의 소염·진통Gasmotin5mg/T1TB*POserotonin-4receptor agonist발진, 두드러기기능성소화불량으로 인한 ... 일시적 경감Tridol50mg/ml/A1AM*IVprn중증 및 중등도의 급만성 통증에 대한 진통제구역, 구토, 복통, 입마름, 발열, 오한, 발진수술후 동통Soleton80mg/T1TB
    리포트 | 18페이지 | 2,000원 | 등록일 2021.08.29
  • 아동간호학 뇌전증 (epilepsy) 간호진단 간호과정 케이스스터디
    사례보고서 양식케이스 환아 진단명:epilepsy (뇌전증)[1. 질병에 대한 문헌고찰]1. ... 그러 설정하였다.케이스 환아 진단명:epilepsy (뇌전증)[4. ... 식후 30분 PO for 99days 처방받아 복용해왔고, Seizure이후 복용 멈췄다가 3/12 Synthyroid (levothyroxine sodium) 0.05mg 0.5TB
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.03
  • ALU 8bit 설계 베릴로그
    이 점을이용해서 case문으로 합으로 분기하며, 그 값에 따라 진리표 값대로 그대로 Cout,S값을 대입하는 방식으로 설계된 전가산기.Ex) A=1,B=1,Cin=0일 때 합은 6이므로 ... 소스코드//-----------------------------------------------------------------------------//// Title : alu_tb ... // By : tb_verilog.pl ver. ver 1.2s////--------------------------------------------------------------
    리포트 | 36페이지 | 2,500원 | 등록일 2021.04.09
  • A+ 에이쁠 받은 기흉 케이스(간호진단8개, 간호과정2개)
    Underlying bullous emphysema in both lung and fibrocalcified TB lesions in LUL are unchanged.4. ... CASE 선정이유이번에 실습하게 된 oo병동은 내과병동으로 호흡기질환에 중점을 둔 환자들을 관리하는 병동이었다. ... 그렇기 때문에 폐와 관련된 질환들에 대해 관심이 가게 되었고, 기흉을 더욱 자세히 공부하고 싶었기 때문에 CASE로 선정하게 되었다.
    리포트 | 14페이지 | 2,500원 | 등록일 2023.09.09
  • [A+ 정신간호학 Case Study_MSE포함] Paranoid Psychosis (간호진단2개, 간호과정2개)
    정신간호학실습 Case StudyParanoid Psychosis이름학번실습지실습기간실습지도교수님사례연구 보고서가. Ⅰ. 사정1. ... 공황장애1TB Qd hs 8d용량 : 0.5mg졸림우울증현기증신경과민운동실조지적능력 감퇴Muteran진해거담제진해거담1TB QdDaily with meal용량 : 200mg드물게구역 ... 뚜렛장애1TB Qd hs 8d용량 : 10mg공격성충동안절부절정좌불능증불면증변비피로시야흐림Rivotril항전간제Benzodiazepine계*진정작용, 항불안작용?간질, 부분발작?
    리포트 | 45페이지 | 3,500원 | 등록일 2021.01.09
  • 성인간호학실습 A+ 보장, 교수님께 극찬받았습니다 !!
    CASE STUDY탄광부 진폐증Coalworker’s pneumoconiosis목차Ⅰ. 서론1. ... 보고 복용여부 결정한다고 해서 먹지는 않았음-19/10/31-19/11/20: **병동 입원함응급실 통해 ****호에 입원, sputum AFB (trace) 나와 격리실 전실, Tb ... PCR에서 (N) 나와 격리해지되어 ****호에 다시 전실하여 있다가 퇴원함-20/02/05-20/02/28: **병동 정밀환자로 sputim 1+, 2+ 니와서 격리실 입원, Tb
    리포트 | 42페이지 | 3,000원 | 등록일 2022.10.05 | 수정일 2022.10.10
  • 위암 Case study
    case study(stomach cancer)A. ... 자료수집 양식1) 대상자 간호사정을 위한 기초자료 수집(1) 현병력○ 과거력- 당뇨로 인슐린제제 복용 중.- 아버지 : 당뇨로 투석 중.- HTN/DM/Hepatitis/Allergy/Tb
    리포트 | 39페이지 | 3,500원 | 등록일 2022.03.28 | 수정일 2022.03.30
  • 제왕절개 케이스, 간호과정3개 (핵이득)/ A+ 제일중요한 간호과정!!
    Tb(-). Hepatitis(-)④ 가족병력 HTN(-). DM(-). Tb(-). Hepatitis(-)2. ... 외과적 절개와 관련된 급성통증4.참고문헌3.CASE STUDY?간호사정1. ... Cesarean section(제왕절개)과 목 명담당교수님실습 기관실습 기간학 과학 번이 름제 출 일목차3.CASE STUDY-간호사정(자료수집)-진단검사-투약(약물조사)-간호과정#
    리포트 | 17페이지 | 1,500원 | 등록일 2022.07.21
  • 편집형 정신분열병(paranoid schizophrenia) case study 간호진단1개
    CASE STUDY01-1. ... Schizophrenia (편집형 조현병)(4) 가족력3) 신체상태 검진# V/S : 140/80-108-20-36.8# P/E : 174cm/76kg# 위생상태 : 양호하지 않음# TB ... 정신건강간호학CASE STUDY실습병동00대학병원 (00병동)담당교수신00 교수님제출일2016-07-14조원(학과/학번/이름)간호학과A0000000강00문헌내용질환명paranoid
    리포트 | 14페이지 | 2,500원 | 등록일 2023.05.17
  • CHF 울혈성 심부전 A+, 간호진단 3개, 간호과정 3개
    EDDO medi- glimel 3mg/tab 2TB Qd, pm- actos 15mg/tab 1TB Qd, pm- ryzodeg flex touch 300unit/3ml/pen? ... Congestive heart failure-Case Study-목 차Ⅰ. 서론 ----------------------------------1. 일반정보2. 병력3.
    리포트 | 26페이지 | 2,000원 | 등록일 2024.04.07
  • 여성간호학실습 조기진통 케이스 (A+자료) 불안, 비효과적 임신과 출산 과정의 위험 간호중
    TB ?HBsAg ?VDRL ?기타배우자 ■없음 ?HIV ?TB ?HBsAg ?VDRL ? ... hydration 후에도 증상 지속되고 경부길이 짧아져 경과 관찰하기 위해 adm.2) 과거 병력 및 수술력(병 이름, 수술명 및 최초 진단 또는 수술받은 날짜)* IUI#1 IVF#2 case
    리포트 | 24페이지 | 4,000원 | 등록일 2024.04.24
  • [[아동간호실습]]아동간호학/CASESTUDY/생리적황달/간호과정2개/황달, 비효과적수유양상
    3일 째에 육안으로 황달 관찰되어 TB 12.09mg/dL (jaundice)로 NICU 입원함. ... 아동간호학 실습case study:NICU[Neonatal jaundice]** 입원 동기 및 주 호소20**년 **월 **일 35+6wks, 2270g으로 태어난 000아기는 생후 ... 근거(각 간호계획 및 중재에 해당하는 이론적 근거 제시)평가 및 재계획주관적 자료-객관적 자료-재태 기간 35+6wks , 2270g의 미숙아-생후 3일 째 육안으로 황달 관찰-TB
    리포트 | 9페이지 | 1,500원 | 등록일 2024.03.06
  • 급성 담낭염 case study(사정부터 평가까지)
    case study(Acute cholecystitis)A. ... Lab 검사 결과 CRP 17.7(▲), TB/DB 0.3/0.1, AST/ALT 15/25 이었음.10/12 13:00 NRS 4점의 통증을 호소하고, 체온 38.1℃, CRP 17.7로
    리포트 | 30페이지 | 3,000원 | 등록일 2024.05.07
  • A+ 뇌출혈[출혈성 뇌졸중/뇌내출혈] (성인간호학 중환자실)_간호과정_간호진단5개 각 간호중재 모두 4개씩 이상
    과거력DM(당뇨), Pul Tb(폐결핵), acute ICH, Lt BG? 가족력DM, pancreatic Ca 취장암? ... 성인간호학 중환자실CASE STUDY A+Acute Rt. Intercerebral Hemorrhage뇌출혈[출혈성 뇌졸중/뇌내출혈]목 차1. ... 간호과정 사례보고서(Case study)1) 간호력(Nursing History)? 주호소Left side weakness, altered mental status?
    리포트 | 23페이지 | 5,000원 | 등록일 2024.05.24
  • 특급칭찬받은 성인간호학 실습 melena 흑색변 A+
    학과반/학번이름실습 처실습 기간담당 교수* 간호과정 사례보고서(Case study) 양식(1) 간호력(Nursing History)? ... 6.16.0~8.0g/dLALB(알부민)3.64.14.04.13.3~5.2TB(총 빌리루빈)1.5?1.21.5?0.50.2~1.2mg/dLDB0.6? ... TB-증가: 황달12. DB-증가: 간세포장애, 간내성 답즙율체13. ALP14. GOT(AST)-증가: 급성 간염, 담도협착성 황달, 근골격계질환, 간 질환, 심근경색증15.
    리포트 | 46페이지 | 3,000원 | 등록일 2023.07.05
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대