• 통큰쿠폰이벤트-통합
  • 통합검색(235)
  • 리포트(228)
  • 자기소개서(6)
  • 시험자료(1)

"verilog adder" 검색결과 41-60 / 235건

  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 3주차 예비+결과(코드포함) Verilog HDL
    가.실험목표-Verilog HDL 문법을 익혀 이를 활용한다. ... 아래와 같은 코드로 primitive modeling을 통해 1-bit full adder를 구현하였다. ... -테스트벤치 모듈⇨HDL 모델을 시뮬레이션하기 위한 Verilog 모듈이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 시립대 전전설2 Velilog 결과리포트 3주차
    실험 목적- Xilinx ISE 프로그램의 Verilog를 이용하여 로직 게이트를 설계하고 프로그래밍 해본다.2. ... 때문에 복잡하고 생소한 Verilog HDL 문법을 이해하고 숙지한 상태가 아니라면 회로를 설계하는데 어려움이 있을 것 같다. ... Verilog HDL 실습 3주차 결과 리포트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록1
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 이용하여 디지털 회로를 디자인 하기에 앞서 Schematic 설계를 수행해 본다. ... Digital Design with an Introducton to the Verilog HDL 5thedition3) XILINX DS099 Spartan-3 FPGA Familiy ... 장점이 있으며 ACTEL, QUICKLOGIC, CROSSPOINT 등이 그 예)으로 크게 구분 지을 수 있으며 EPROM 방식(ALTERA가 그 예)도 사용한다.- VHDL 또는 Verilog
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 정실, 정보통신기초설계실습2 9주차 결과보고서 인하대
    그림3은 full adderverilog로 코딩하여 시뮬레이션 한것이다.그림 SEQ 그림 \* ARABIC 3 : simulation위에서부터 A, B, C0, S, C 순서다. ... 그림4는 4비트 full adderverilog코드이고 그림5는 이를 시뮬레이션한 결과이다.그림 SEQ 그림 \* ARABIC 4 : Test bench 그림 SEQ 그림 \* ... Verilog는 대소문자를 구분하기 때문에 주의해야 할 것이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 충북대 디지털시스템설계 결과보고서2
    이번 실험을 통해 verilog 코드로 multiplier의 기능을 구현하는 법을 배웠는데 지난번 adder보다 동작원리가 좀 더 복잡했던 것 같다. ... 그리고 지난주에 설계한 four_bit_full_adder 코드를 불러와 연산들을 실행한다. ... 비고 및 고찰이번 실험은 4-bit Multiplier를 verilog code를 통해 설계해보는 실험이었다.
    리포트 | 3페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 서울시립대 전전설2 Lab-03 결과리포트 (2020 최신)
    하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL(Verilog)이다. ... [응용과제] Gate primitive 방식을 통한 1-bit full adder 설계위 테스트 파일은 for문을 통해 입력값에 주기적인 변화를 줬다. integer k가 10ns마다 ... 0011, b[3:0] = 0101).- 비트 단위 연산자- gate primitive modeling- behavioral modeling[응용 과제]다음의 1-bit full adder
    리포트 | 19페이지 | 1,500원 | 등록일 2021.09.10
  • BCD가산기 verilog 설계
    이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다.실습 내용실습결과Verilog설계- BCD 가산기의 Verilog ... 코드 기술BCD_ADDERtb_BCD_ADDERmodule BCD_ADDER(A,B,C,RESULT);input [3:0] A;input [3:0] B;output C;output ... ;reg [3:0] A;reg [3:0] B;wire C;wire [3:0] RESULT;BCD_ADDER tb(.A(A), .B(B), .C(C), .RESULT(RESULT));
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • Verilog를 사용한 설계과제(4bit CLA 모듈, State table)
    이렇게 주어진 Mealy machine을 Verilog언어로 설계하였다.HW 3설계 코드와 주석테스트벤치 코드시뮬레이션 결과고찰HW 3은 Moore machine으로 설계하였다. ... 0으로 선언한 후, #100을 통해 a, b, ci에 100ns 뒤에 각각 4bit씩 입력하였다.시뮬레이션 결과고찰CLA란 아래 비트부터 carry를 전달하는 Ripple-carry adder ... CLA의 확장 방정식은 인터넷을 참고해 코딩하였다.이 확장 방정식에 의해 C0~C3의 값이 결정된다. 4bit adder로서 sum이 [3:0] carry_out 1bit로 4bit를
    리포트 | 14페이지 | 1,000원 | 등록일 2020.04.03
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2, 3주차, Lab03, Introduction to Verilog HDL, 자세한 설명, 결과레포트
    Verilog HDL 실습 Lab#03 Verilog HDL, 서울시립대학교.ppt Verilog HDL 이론과 문법PAGE \* MERGEFORMAT2 ... 전자전기컴퓨터공학부 설계 및 실험2Post Lab-03Introduction to Verilog HDL실 험 날 짜학 번이 름목차1. ... 실험 결과(1) Two-input AND 게이트① bit operators② Gate_Primitive③ Behavioral modeling1) Verilog HDL와 simulation①②③
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 시립대 전전설2 Velilog 예비리포트 3주차
    실험 목적Verilog HDL문법을 이해해보자AND gate 설계NAND gate 설계Full adder 설계 (두 가지 방법으로)2. ... Verilog HDL 실습 3주차예비리포트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록실험 ... 배경 이론1) Verilog HDL 문법- 참고문헌 1) 참고2) AND Gate- 출력은 논리 입력의 곱과 같음3) NAND Gate- AND 게이트와 NOT 연산을 조합한 결과3
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.16
  • 시립대 전전설2 Velilog 결과리포트 4주차
    실험 목적- Xilinx ISE 프로그램에서 Verilog를 이용하여 연산회로를 설계하고 프로그래밍 해본다.2. ... 이는 full-adder를 만들기 위해 half- adder를 만든 원리와 같다. subtractor는 x, y를 input으로 넣어주고 always문을 사용하기 위해 reg를 설정하였다 ... 이는 full-adder를 만들기 위해 half- adder를 만든 원리와 같다. subtractor는 x, y를 input으로 넣어주고 always문을 사용하기 위해 reg를 설정하였다
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 전전설2 3주차 실험 결과레포트
    밑에 첨부한 사진들은 왼쪽부터 실제로 AND 게이트 회로를 만들어서 스위치를 모두 누르지 않거나 눌렀을 때의 LED의 상태를 보여주는 사진이다.LED가 작동했을제로 Full Adder ... - LED1(Cout), LED9(S)모두 작동할 때실험 전 예측했던 대로 3개의 스위치 모두 눌렀을 때 LED1, LED9에 불이 들어왔다 밑에 첨부한 사진들은 실제로 Full Adder ... 실험주제 : Introduction to Verilog HDL2.
    리포트 | 23페이지 | 1,000원 | 등록일 2021.11.30
  • VLSI설계및실험Practice1
    실험제목Verilog simulation tutorial1. 5-bit Ripple Carry Counter2. 5-bit Adder based Counter실험결과1. 5-bit ... Flip-Flop과 Inverter를 이용하여 T Flip-Flop을 만들고T Flip-Flop의 Q를 각 Clock에 연결하여 Ripple Carry Counter를 만들었다.2. 5-bit Adder ... ModelSim에서 Coding한 .v 파일들을 불러와 사용할 수 있었다.다음은 Design Compiler를 이용한 각 Counter의 Block Diagram이다.대략적인 그림을 살펴보면 Adder
    리포트 | 5페이지 | 1,000원 | 등록일 2020.07.29 | 수정일 2021.10.27
  • 시립대 전전설2 A+ 2주차 예비레포트
    실험 목적Design Tool 상에서 Verilog HDL을 사용하여 Digital logic을 설계한다.Verilog의 Gate Primitive를 사용하여 간단한 로직에 대하여 ... 가져온다.full_adder_DF2를 구현할 때는 새 프로젝트를 열지 않고, full_adder_DF 안에서 그대로 수행한다.my_sources/full_adder 폴더 아래 [실습4 ... [실습 1]의 회로에서 nand, noer_DF1과 full_adder_DF2를 구현하라New project를 full_adder_DF 이름으로 만들어라모듈 파일 full_adder_DF1
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 논리회로 (정연모) 기말 전체 족보 정리
    type의 timing trace 와 state diagram을 그리시오.2)state table을 그리고 jk f/f을 이용하여 회로를 그리는 과정을 보이시오.3) 이를 위한 Verilog ... 와 serial adder의 장단점 2가지 이상씩 쓰기.3. 10100110을 해밍코드를 이용하여 12bit로 표현하고 유도하는 과정 서술. 11번째 bit가 오류일 때 C8C4C2C1이 ... 구조를 위한 coincident decoding의 사용을 설명하고 그 효과는 무엇인지 설명하시오.5.1) 하나의 D f/f 와 FA를 이용하여 4비트의 두 값을 더하는 serial adder
    시험자료 | 2페이지 | 1,500원 | 등록일 2022.04.07
  • Vivado를 이용한 half adder, full adder, 4 bit adder의 구현 예비레포트
    “Half Adder”[2] © 2015 - 2022 ChipVerify “Verilog initial block” ... 입력이 3개 존재해서 모두 대등하게 동작한다.- Verilog 문법initial, always block 은 모두 행동 모델링을 구성하기 위한 가장 중요한 구조이다.각각의 block ... Vivado를 이용한 half adder, full adder, 4 bit adder의 구현 예비레포트1.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    구현하기 위해서 half adder 모듈 u0, u1를 사용하였다. ... Digital Design with an Introducton to the Verilog HDL 5thedition3) 연세대학교 정보통신용 SoC설계연구실 Verilog 문법 교안4 ... Post-reportBasic Gates in Verilog실험날짜 :학번 :이름 :1. Introduction가.
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 시립대 전전설2 Velilog 예비리포트 4주차
    실험 목적- Xilinx ISE 프로그램에서 Verilog를 이용하여 연산회로를 설계하고 프로그래밍 해본다.2. ... 출력시키는 논리 회로(반가산기의 입력에 자리 올림 입력 비트를 추가시킨 회로).(3) 4비트 가산기 : 전가산기가 1비트의 값을 더한 가산기라면, 멀티 비트 가산기(Multi-Bit Adder ... Verilog HDL 미습 4주차예비리포트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록실험
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 충북대 디지털시스템설계 결과보고서1
    비고 및 고찰이번 실험은 1-bit Full Adderverilog로 design 해보는 실험이었다. ... 또한 코드를 작성하면서 verilog의 문법들을 익힐 수 있었다. ... 실험 제목1-bit Full Adder Design2.
    리포트 | 3페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    심볼 및 동작을 이해하고, 이를 응용하여 4-bit Adder의 설계 방법을 익힌다.-4-bit AdderVerilog HDL을 이용하여 설계하고, FPGA를 통하여 검증하는 ... 실험 제목 [Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증]2. ... 다양한 HDL이 존재하지만, verilog hdl 과 VHDL이 FPGA과 함께 널리 쓰인다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:18 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대