• 통큰쿠폰이벤트-통합
  • 통합검색(235)
  • 리포트(228)
  • 자기소개서(6)
  • 시험자료(1)

"verilog adder" 검색결과 101-120 / 235건

  • [디지털시스템실험(Verilog)] Verilog 기본 실습 예비보고서
    Verilog로 구현하고 모듈화하여, 32-bit adder를 시뮬레이션해본다.실험준비물ModelSim(HDL Simulator)기본지식① Verilog HDL(Verilog Hardware ... 통해 프로그램을 시뮬레이션할 수 있다.③ 32-bit adder의 설계아래에는 32-bit adder의 설계를 대비하여 Verilog의 기본 Syntax들을 미리 정리하고, 실험 목적에 ... 언어의 개념을 이해하고 코딩을 위한 기본 문법을 익힌다.② 코딩한 Verilog의 시뮬레이션을 위해 HDL 시뮬레이터인 ModelSim의 사용 방법을 익힌다.③ Full adder
    리포트 | 2페이지 | 1,000원 | 등록일 2011.10.05
  • FPGA 디지털 시스템 설계 : 16bit Full adder 설계
    Verilog code16bit full adder와 testbench의 verilog code는 다음과 같다. ... adder를 작성하였다. 1bit full adder는 따로 verilog 파일을 만들어 컴파일시켜 라이브러리에 등록해도 무방하지만, fulladder16.v 파일 하나로 16bit ... full adder를 만들기 위해 이 파일 안에 1bit full adder module도 작성하였다.verilog code를 작성할 때 module 명령어를 적고 컴파일하면, 해당
    리포트 | 3페이지 | 1,000원 | 등록일 2012.06.18
  • 전자전기컴퓨터설계실험2(전전설2)2주차결과
    Verilog에 값을 입력왼쪽의 그림은 전가산기의 verilog이다. 다음의 그림에서 빨간 네모 안의 값은 초기값을 의미하는데 그 후 임의로 값을 설정 가능하다. ... 예를 들어 왼쪽의 그림은 초기값이 a,b,c =0 이고 250ns 후 부터는 그 값이 변경된다는 점을 알 수 있다.아이심 자체에서 그 값을 넣어줄 수 있으나 이렇게 verilog 단계에서 ... Half Adder를 Module Instance Symbol로 호출하고1-bit Full Adder를 설계하시오.1.
    리포트 | 15페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • Verilog-디지털시스템설계
    Verilog - 6bit Adder 설계1. Gate-level 방식2. Dataflow 방식1. Gate-level 방식 >> Half_adder1. ... Dataflow 방식 >> SimulationReport< Verilog - 6bit_Adder 설계 >과 목 : 디지털시스템설계교 수 : 정진균 교수님일 자 : 2011년 9월 23일학 ... Gate-level 방식 >> Full_adder1. Gate-level 방식 >> 6bit_Full_adder1. Gate-level 방식 >> Test bench1.
    리포트 | 6페이지 | 1,500원 | 등록일 2012.03.28
  • 시립대 전전설2 [2주차 예비] 레포트
    Purpose of this Lab이번 실험에서는 Verilog HDL 언어를 사용하여 논리회로를 설계하는 방법을 배운다. ... Essential Backgrounds for this LabXilinxintegrated software environment 통합 소프트웨어 환경 입니다. verilog HDL이나 ... : XC3S200, pakage PQ208, Speed -4, synthesis Tool XST, Simulator lSim, Preterred Language Verilog를 설정해준다
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • [디지털시스템실험(Verilog)] Verilog 기본 실습 결과보고서
    adderVerilog로 구현하고 모듈화하여, 32-bit adder를 시뮬레이션해본다.실험결과실험 ① 1-bit full adder 구현‘A, B, Cin’의 input과 ‘ ... Verilog 언어의 개념을 이해하고 코딩을 위한 기본 문법을 익힌다.② 코딩한 Verilog의 시뮬레이션을 위해 HDL 시뮬레이터인 ModelSim의 사용 방법을 익힌다.③ Full ... KEEE209 전기전자전파 공학부디지털 시스템 설계 결과 보고서디지털 시스템 설계 및 실험 KEEE209-09 전기전자전파 공학부학부 : 학번 / 이름 :실험조 : 실험일 :실험제목Verilog실험목표①
    리포트 | 3페이지 | 2,000원 | 등록일 2011.10.05
  • [디지털논리회로1] Ripple carry adder
    # Problem statement본 문제는 Quartus를 이용해 32bit Ripple carry adder(RCA)를 Verilog로 구현하는 것이다. ... about ripple carry adderRipple Carry Adder(RCA)는 Full Adder를 일렬로 연결하여 구성한 덧셈회로이다. ... 말 그대로 2진수의 덧셈을 하는 논리 회로이며, 종류로는 반가산기와 전가산기가 있다. ripple carry adder를 구현하기 위해 사용한 전가산기(Full-Adder)는 3개의
    리포트 | 3페이지 | 2,000원 | 등록일 2015.03.16
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 결과보고서
    실험목적① BCD code, Seven-segment display에 대한 이론 및 회로② Seven-segment display의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit Adder의 ... 실험제목Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증2. ... 설계 방법을 익힌다.③ Seven-segment display를 Verilog HDL을 이용하여 설계하고, FPGA를 통하여 검증하는 방법을 익힌다3.
    리포트 | 3페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • [VerilogHDL] 4bit 2진 덧셈기 설계(LED 및 dotmatrix 제어)
    한편, Dotmatrix블록에서는 "Verilog HDL"이라는 문자가 좌에서 우로 디스플레이 된다.■ 회로구현1비트 2진 덧셈기 블록(bitadder) 설계입력출력CINABCOUTSUM0 ... ) 설계module adder4 (A0, B0, A1, B1, A2, B2, A3, B3,CIN, SUM, COUT);input A0, B0, A1, B1, A2, B2, A3, B3 ... 3개의 입력비트와 2개의 출력비트로 구성된다.- A, B는 더해질 위치의 2개의 비트이며, CIN(캐리입력)로 표시된 변수는 바로 전 위치로부터의 캐리이다.4비트 2진 덧셈기 블록(adder4
    리포트 | 8페이지 | 1,000원 | 등록일 2015.08.02
  • 디지털 논리회로 Verilog 과제
    게이트를 연결해주는 wire는 s1, c1, c2가 필요했다. verilog에서 지원하는 gateprimitive를 이용하여 게이트의 입,출력을 gate(출력,입력1,입력2)의 형식으로 ... HW1-Design1-(1) 1BIT FULL ADDER4. 고찰입력3개 출력이 2개인 1bit 전가산기를 코딩해보았다. ... 출력값을 표로 나타내면 다음과 같고 진리표와 동일하게 출력되었다.HW1-Design1-(2) 4BIT FULL ADDER4.
    리포트 | 11페이지 | 3,000원 | 등록일 2019.06.26
  • 16Bit Carry Look Ahead Adder
    function을 정의한 소스 그림Carry Look Ahead 소스 그림16Bit Carry Look Ahead 소스 그림Test Bench 소스 그림16Bit Carry Look Ahead Adder ... 결과를 보면첫 번째 계산에 COUT이 1이 생기고 세 번째 계산에서 CIN이 1이 들어간 것을알 수 있다.또한 계산값이 일치하였다.그러므로 16Bit Carry Look Ahead Adder설계
    리포트 | 3페이지 | 1,500원 | 등록일 2015.12.07 | 수정일 2015.12.10
  • 시립대 전전설2 [2주차 결과] 레포트
    spatan3, Device : XC3S200, pakage PQ208, Speed -4, synthesis Tool XST, Simulator lSim, Preterred Language Verilog를 ... half adder 심볼로 바꾼다.4) half adder 심볼 2개와 하나의 OR게이트로 full adder를 설계를 한다.5) 설계한 full adder 4개를 사용하여 직렬연결을 ... 만들어진 심볼은 full adder를 이용해서 사용된다.다. half adder Symbol로 1-bit Full Adder를 설계1-bit Full Adder 설계앞서 설계한 half
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • [컴퓨터공학기초설계및실험2 보고서] 32-bit carry look-ahead adder (CLA) design
    flip-flop과 32-bit RCA로 구성되어있다.waveform에서 slack이 양수이기 때문에 violation이 발생하지 않음이 확인된다.고찰 및 결론고찰clock과 관련하여 처음 verilog로 ... adder (CLA)목적carry look-ahead adder (CLA)의 동작 원리와 구성 및 특성을 이해한다. ... (배경지식)* Carry Look-ahead Adder (CLA)Ripple Carry Adder (RCA)의 느린 속도를 보완하기 위해 Carry만을 계산해주는 별도의Carry Look-ahead
    리포트 | 15페이지 | 2,000원 | 등록일 2015.04.12
  • Lab#03 Verilog HDL
    실험1과 실험2에서 만든 두 개의 Full Adder를 총 4개 연결하여 Ripple Carry Adder를 만들었다. instance를 할 때는 종전에 만든 Verilog구문에서의 ... . instance를 할 때는 앞에서 만들어 두었던 Full Adder를 통하여 종전에 만든 Verilog구문에서의 이름을 하나의 게이트처럼 표현했지만, 실험4에서는 Behavioral ... (Full Adder design by Behavioral Modeling)Verilog codeUcf codeTiming SimulationFuctional SimulationABCinS
    리포트 | 20페이지 | 1,500원 | 등록일 2016.09.11
  • 시립대 전전설2 [1주차 결과] 레포트
    spatan3, Device : XC3S200, pakage PQ208, Speed -4, synthesis Tool XST, Simulator lSim, Preterred Language Verilog를 ... 이번 실험에서는 AND 게이트와 Half adder, Full adder, Ripple Carry Full adder등을 자일링스를 이용하여 설계하고 설계한 각각의 소자들를 키박스와 ... half adder 심볼로 바꾼다.4) half adder 심볼 2개와 하나의 or게이트로 full adder를 설계를 한다.5)3)and gate의 각 포트별로 포트 핀 번호를
    리포트 | 10페이지 | 2,000원 | 등록일 2019.07.29
  • Lab#04 Combinational Logic Design 1
    Prelab1 (Half Adder Logic design)Verilog codeUcf codeTest BenchTiming SimulationFuctional Simulation나 ... Prelab3 (4bit Adder Logic design)Verilog codeUcf codeTest BenchTiming SimulationFuctional Simulation라 ... Adder는 1bit Full Adder가 4개가 합쳐진 형태로, 각 Full Adder의 Carry값을 다음 Full Adder의 입력값으로 받아 최종적으로 4bit의 Add 연산을
    리포트 | 24페이지 | 1,500원 | 등록일 2016.09.11
  • 8Bit Carry Look Ahead Adder
    1.module pg(a, b, p, g); input a, b; output p, g; assign p=a|b; assign g=a&b;endmodule2.module s(a, b, c, s); input a, b, c; out..
    리포트 | 4페이지 | 1,500원 | 등록일 2015.12.07 | 수정일 2015.12.10
  • 4Bit Carry Look Ahead Adder
    4Bit Carry Look Ahead Adder
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.07 | 수정일 2015.12.12
  • 퀀텀닷디스플레이레포트(디스플레이공학)
    양자점(Quantum Dot)을 활용한 디스플레이목차Ⅰ. 퀀텀닷 디스플레이 개요1.텀닷(Quantum Dot)이란?2.텀닷의 발광과정3.자구속효과4.퀀탐닷 디스플레이란5.퀀텀닷 디스플레이 원리Ⅱ. 퀀텀닷 디스플레이 원리, 유형, 장점1.퀀텀닷 디스플레이 구현을 위한 두..
    리포트 | 10페이지 | 1,500원 | 등록일 2019.08.24 | 수정일 2019.08.25
  • FINAL Project booth multiplier 와 carry Look ahead adder를 이용한 자판기 설계
    프로젝트 소개(1) 프로젝트 목표수업시간을 통해 배운 카운터, 가산기, 감산기, Multiplier,와 Sequential 로직을 통합적으로 이용하여 verilog 설계를 해보는데 ... Verilog Source Code/*-------------------------------------------------------------------------------- ... (adder_go));adder4bit adder0(.a(adder_go[3:0]), .b(from_register[3:0]), .cin(1'b0),.s(go_register[3:0
    리포트 | 22페이지 | 5,000원 | 등록일 2018.04.04
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:23 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대