• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(983)
  • 리포트(778)
  • 자기소개서(194)
  • 시험자료(3)
  • 논문(2)
  • 서식(2)
  • 방송통신대(2)
  • 이력서(2)

바로가기

FPGA 독후감 - FPGA 관련 독후감 1건 제공

"FPGA" 검색결과 641-660 / 983건

  • 한국철도공사, 코레일, 미래철도 IT직 자소서, 자기소개서, 공기업
    주제는 ‘FPGA와 라즈베리파이를 이용한 지능형자동차’입니다. 1년 규모의 프로젝트를 계획하였고, 제가 담당한 부분은 라즈베리파이를 이용하여 Python으로 이미지 처리를 하여 신호등과
    자기소개서 | 2페이지 | 8,000원 | 등록일 2017.04.06 | 수정일 2018.05.18
  • Combinational Logic Design Ⅱ Decoder, Encoder and Mux
    Configuration Project (iMPACT) 실행한다.창이 새로 열리면 Boundary Scan을 선택하고 화면을 눌러 Initialize Chain을 선택한다.다음과 같은 화면이 나타나면 FPGA
    리포트 | 16페이지 | 1,000원 | 등록일 2016.04.06
  • 전자전기컴퓨터설계실험2(전전설2)4주차결과
    또한 FPGA 디바이스를 모듈화 하여 xilinx의 모듈이 장착 가능하고 디바이스로 호환하여 사용할 수 있다. ... HBE-ComboⅡ-SEHBE-ComboⅡ-SE 장비란 FPGA를 이용한 디지털 논리회로 설계 실습을 위한 장치로 회로에서 많이 사용되는 Switch, LED와 같은 주변 장치를 위주로
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 2017 상반기 포스코ICT 채용형인턴 연구개발 자기소개서
    하지만 실패를 맛 본 건 VHDL로 FPGA를 설계하는 수업 이였습니다. 처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다. ... 인공지능, 알고리즘, 신호 및 시스템, 프로그래밍, 운영체제, 기초회로설계, FPGA 디지털 설계 등 하드웨어와 소프트웨어를 골고루 학습하였습니다. 4학년 때에는 수업시간에 배운 내용을 ... 부족하여 큰 성과는 없었지만, 연구실원들과 함께 밤새가며 프로젝트를 해본 경험이 저에게는 가장 중요한 시간들 이였습니다. 3학년 때에는 드론에 관한 프로젝트를 하였고, 4학년 때에는 FPGA
    자기소개서 | 7페이지 | 5,000원 | 등록일 2017.02.10 | 수정일 2017.03.01
  • 교사 자기소개서 전기.전자.통신 교사관련(합격서류)
    교내 전공 학술제에서도 ‘FPGA’를 이용하여 ‘엘리베이터’, ‘라인트레이서’, ‘무선마이크’ 등 여러 프로젝트 작품을 선보였습니다. ... 이러한 경험에서 더 나아가 도내에서 진행하는 ‘BK21 학술제’에도 ‘FPGA’와 ‘MCU’를 이용하여 ‘자동화분 분무기’라는 주제로 동아리 프로젝트를 출품하였고, 누리사업아래 진행된
    자기소개서 | 2페이지 | 3,000원 | 등록일 2009.06.02
  • 논리회로실험 2014 Digital clock
    1. Purpose 1) 4MHz의 오실레이터 clock을 분주하여, 시, 분, 초를 나타내는 디지털 시계를 설계한다.2) RoV-Lab 3000을 이용하여 회로 설계를 검증한다.2. Background이번 실험은 4MHz의 오실레이터 clock을 분주하여, 디지털 시..
    리포트 | 11페이지 | 1,000원 | 등록일 2014.11.05
  • 논리회로설계실습 순차회로(카운터) 결과보고서
    (FPGA를 사용 1초에 LED가 한번 지나가도록 설계)(1) 존슨 카운터1) 존슨 카운터 소스코드2) 존슨 카운터 동작< Reset 버튼을 누른 경우 >(2) 링 카운터1) 링 카운터
    리포트 | 7페이지 | 1,500원 | 등록일 2018.01.10
  • 아주대학교 논리회로실험 설계 에비보고서
    실험 목적- FPGA를 이용하여 Up ? Down Counter를 설계한다.? 작동 원리 설명1.
    리포트 | 6페이지 | 1,500원 | 등록일 2016.06.16
  • Application Design Ⅰ7-segment and Piezo Control
    Manage Configuration Project (iMPACT) 실행한다.창이 새로 열리면 Boundary Scan을 선택하고 화면을 눌러 Initialize Chain을 선택한다.FPGA
    리포트 | 16페이지 | 1,000원 | 등록일 2016.04.06
  • 전전컴설계실험2-7주차결과
    검색된 FPGA 모듈에 4:1 Mux Logic이 설계된 bit 파일을 프로그래밍한다.12. ... 실제 장비의 FPGA Module에 프로그래밍하여 동작을 확인하기 위해 IMPACT를 실행한다.10. ... -Logic Modeling 설계 후 FPGA MODULE에 탑재하기 전에 Simulation을 통해 동작을 검증한 후에 프로그래밍해야 오류를 방지할 수 있다.3.Supposed Date
    리포트 | 20페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 업체별 동향
    있도록 지원한다.애플리케이션은 ATCA 및 microTCA 시스템과 같은 메자닌 (mezzanine) 보드, 고성능 프로세서용 PoL 레귤레이션, ASIC 및 최근 도입된 28nm FPGA
    리포트 | 21페이지 | 3,000원 | 등록일 2018.05.30
  • 논리회로실험 8주차 결과보고서
    Quartus Ⅱ와 DE2-115 보드를 이용하여 D latch를 FPGA에 구현하고 switch와 LED 동작을 ‘ON/OFF’를 사용하여 나타내라.(1) 오른쪽 사진은 구간 6의 ... Quartus Ⅱ와 DE2-115 보드를 이용하여 D Flip Flop를 FPGA에 구현하고 switch와 LED 동작을 ‘ON/OFF’를 사용하여 나타내라.Dsw[0]Resetsw
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • [디지털 공학] VHDL을 이용하여 전자키 설계
    library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;-- Uncomment the following lines to use the dec..
    리포트 | 5페이지 | 2,000원 | 등록일 2005.07.12
  • 전전컴설계실험2-4주차결과
    그 다음에 실제 하드웨어 동작 상황에 대한 시뮬레이션.설계파일을 FPGA 모듈에 탑재시켜 HBE-ComboII-SE 장비 내에서 물리적으로 입출력을 구현한다.(2)Essential ... Adder2진 신호(0,1)에 대하여 2개의 입력과 2개의 출력을 가지고 출력 신호가 입력 신호에 대하여 합(S)과 자리 올림 수(C)를 산출하는 논리 회로이다.입력 논리 요소 (FPGA ... 식으로는 논리 블록이라고도 함)에 간단한 플립플롭이나 더 완벽한 메모리 블록으로 된 메모리 요소를 포함하고 있다.프로그램이 가능한 내부선 계층구조는 FPGA의 논리블록을 시스템 설계자가
    리포트 | 15페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 사학연금, 사립학교교직원연금공단, IT직, 자소서, 자기소개서 , 전산직, 공기업, 연금공단
    주제는 ‘FPGA와 라즈베리파이를 이용한 지능형자동차’입니다. 1년 규모의 프로젝트를 계획하였고, 멘토가 지통신하고, FPGA보드와 신호를 주고받는 역할을 합니다. ... 주십시오. * [500자 이상 ~ 1000자 이내]대학교 3학년 전공수업이었던 컴퓨터종합설계 과목은 VHDL(VHSIC Hardware Description Language)로 FPGA
    자기소개서 | 6페이지 | 6,000원 | 등록일 2017.04.07 | 수정일 2018.05.18
  • 최신 2017 SEMES 합격 자소서(세메스 합격 자기소개서)
    쿼터스를 이용한 Counter, Encoder, Decoder부터 LCD, FPGA 곱셈, CPU설계능력은 하드웨어 분야에서 두각을 될 것입니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2017.09.08 | 수정일 2017.09.11
  • 실험2 제08주 Lab06 Pre 4 Bit Shift Register
    Shift Register의 Verilog Code를 작성한다.② 각 Element들에 알맞은 Pin number를 부여한다.③ Configure Device(iMPACT)를 통해 FPGA에 ... Included Enable의 Verilog Code를 작성한다.② 각 Element들에 알맞은 Pin number를 부여한다.③ Configure Device(iMPACT)를 통해 FPGA
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • Sequential Logic Design Ⅰ Flip-Flop, Register and SIPO
    Configuration Project (iMPACT) 실행한다.창이 새로 열리면 Boundary Scan을 선택하고 화면을 눌러 Initialize Chain을 선택한다.다음과 같은 화면이 나타나면 FPGA
    리포트 | 13페이지 | 1,000원 | 등록일 2016.04.06
  • 전기전자기초실험 Flip-flop and Counter Design 결과레포트 (영어)
    .▶ Many FPGA devices contain only edge-triggered D flip-flops▶ The data contained in several flip-flops
    리포트 | 8페이지 | 1,000원 | 등록일 2017.12.01
  • Application DesignⅡ Text-LCD Control
    LCD 모듈이 각 제어 코드를 실행하는데 설정된 시간이 필요하므로 FPGA가 BF를 읽어 1일 경우에는 기다리고 0일 경우에는 다음 제어 코드를 보내는 방법을 사용하면 보다 효율적인
    리포트 | 18페이지 | 1,000원 | 등록일 2016.04.06
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:59 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대