• 통큰쿠폰이벤트-통합
  • 통합검색(3,099)
  • 리포트(2,906)
  • 자기소개서(145)
  • 시험자료(24)
  • 방송통신대(9)
  • 논문(7)
  • 서식(5)
  • ppt테마(2)
  • 이력서(1)

"논리회로및실험" 검색결과 61-80 / 3,099건

  • DLD 실험 - 게이트와 부울대수 및 조합논리 회로
    년도-학기2009년 1학기과목명디지탈논리회로실험LAB번호실험 제목2게이트와 부울대수 및조합논리 회로(예비)실험 일자2008 년 3월 13일제출자 이름제출자 학번팀원 이름Chapter ... 게이트입력출력ABY001011101110(d) NAND 게이트입력출력ABY001010100110(e) NOR 게이트입력출력ABY000011101110(f) Exclusive-OR 게이트조합논리회로의 ... 입력신호의 논리에 따라 출력을 제어하는 소자를 말한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2009.06.10
  • 기초전자회로실험 예비레포트 논리작용의 기초 및 응용 (logic gate)
    논리작용의 기초 및 응용기초전자회로실험I2조실험일: 09.3.27참고 : 네이버백과(두산백과), 위키백과, 네이버이미지(사진출처), ic114.com(데이터시트)1. ... 실험목표㈀ 실험을 통한 AND, OR, INVERTER(NOT), NAND 및 NOR 게이트의 논리작용 이해㈁ NAND와 NOR 게이트를 이용하여 다른 기본 논리 게이트를 구성논리 ... 게이트를 3개 이상 연결한 회로도를 임의로 구성ABX(이론값)X(측정값)000+5+50+5+57402 IC 1개 7486 IC 1개 이용 AND 게이트를 만듬 (그림판 작업 이해바람
    리포트 | 6페이지 | 1,000원 | 등록일 2009.09.18
  • 논리회로 실험 레포트(디코더 인코더 및 다중화기 역다중화기)
    실험 목적: ⑴디코더와 인코더의 동작원리 및 특성을 살펴본다.⑵다중화기와 역다중화기의 동작원리 및 특성을 이해하고 이들 을 이용한 논리회로 구성밥법을 공부한다.3. ... 실험 제목: 디코더/인코더 및 다중화기/역다중화기2. ... 실험 이론:①디코더: 디코더는 이진코드(binary code), BCD 코드(binary-coded-decimal code), 기타 여러가지 코드들을 코드가 없는 형태로 바꾸는 변환회로
    리포트 | 5페이지 | 1,000원 | 등록일 2009.10.31
  • 디지털논리회로실험 장비사용법 및 시뮬레이션 툴 사용법
    제 목 : 장비 사용법 및 시뮬레이션 툴 사용법2. 실험목적 : 실험실의 각종 측정장비의 명칭과 기능 및 사용법을 이해한다.3. ... 논리실험장 치란 그러한 논리소자의 기본적인 특성을 확인하고 실험하는 장치 중 하나이다.◎ 사용법1. 전원전압을 사용전압에 맞추어 선택2. ... 따라서, 이 경우에는 다이 오드를 통해 부하에 전원을 공급한다.(2) 논리실험장치 - 디지털 논리논리값 ‘0’, ‘1’ 중 어느 하나에 할당시켜 실세계를 표현한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2007.11.21
  • [디지털 회로 이론 실험] 디지털 논리회로 실험 이론 및 예비 레포트 모음
    ∎Switch의 개념을 공부한다.§ 실험 이론 디지털 논리는 단지 두 개의 조건만을 취급한다 : 논리 “1” 또는 논리 “0”. ... § 실험 목적∎AND, OR 논리의 진리표를 결정한다.∎Switch(릴레이)의 직렬, 병렬 연결로 AND, OR 논리를 구성한다. ... (ON) 또는 OPEN(OFF), 전등(LED)은 ON 또는 OFF, 전압펄스의 有 또는 無, 정펄스(+) 또는 부펄스(-), 전류 흐름의 방향(→←), 자력선 방향(→←) 등이 논리
    리포트 | 3페이지 | 1,000원 | 등록일 2005.07.12
  • [논리회로실험] 부울 함수 및 Karnaugh 맵
    실험 3. 부울 함수 및 Karnaugh 맵2. 이론(1) 부울 함수부울 대수는 논리 값 0, 1그리고 연산 AND, OR, NOT으로 정의된다. ... 입력 스위치를 이용하여 표4와 같은 입력을 인가하고 그때의 전압 및 논리상태 값을 표4에 기록하라.(2) 7432와 7404을 이용하여 그림5(b)회로를 구성하고, 절차(1)을 반복하여 ... 실험 절차(1) 7408과 7404를 이용하여 그림5(a) 회로를 구성하라.
    리포트 | 7페이지 | 1,000원 | 등록일 2004.07.23
  • 디지털 논리회로 실험, 부울법칙 및 드모르간, 글리치, 해저드, half/full adder 실험 예비 보고서
    A+1=1⑤ 부울 변수 및 보수연산ⅰ. A∙A=0ⅱ. A+A=Aⅲ. A∙A’=0ⅳ. A+A’=1⑥ 논리적 등식ⅰ. A’’=Aⅱ. A+A’B=A+Bⅲ. ... 기본이론1) 효율적인 논리 회로를 만들기 위해서는 최적화된 부울 방정식이 필요하다. ... 하드웨어적인 문제.해저드(Hazard) : 논리 회로에서 입력 논리값의 조합이 변하였을 때 내부의 물리적 과도 상태의 불규칙성으로 인해 일시적으로 올바르지 않은 논리 출력이 발생하거나
    리포트 | 5페이지 | 1,500원 | 등록일 2009.07.18
  • 디지털 논리회로 실험, 부울법칙 및 드모르간, 글리치, 해저드, half/full adder 실험 결과 보고서
    Dynamic Hazard 발생 회로 그림, 코드, 회로그림Ⅰ 결과분석 및 고찰4단원의 실험에서는 부울 법칙과 드모르간 정리가 성립함을 관찰하였다. ... 있는 회로를 관찰하는 것이었다. ... Hazard 발생 실험2. Hazard 제거한 실험3.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.07.18
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 6장 대소 비교 회로 및 다중 출력 회로
    실험 목적대소 비교 회로, 일치회로 및 다중 출력 회로를 설계하여, 각 회로의 구성 및 동작 특성을 실험을 통하여 이해하며 학습한다.⑵ 관련 이론 2.1 대소 비교 회로대소 비교 ... 결과를 출력하는 1bit 2진 비교기의 진리표 및 논리회로는 [그림 6-1]과 같다. ... 일치회로라 한다. 2입력 일치회로의 진리표 및 논리회로는 [그림 6-2]와 같다.다중출력 기능을 하는 회로로서 이진 자승기(Binary Square Table Generator),
    리포트 | 10페이지 | 1,500원 | 등록일 2005.03.30
  • 논리회로 실험 (JFET의 특성 및 증폭기 응용) 특성과 이론내용을 정확히 분석
    JFET 증폭기의 동작점 위치와 전달 특성 곡선 및 증폭 특성 사이의 관계1) 실험 2-2-1 : 각 저항이 동작점에 미치는 영향(1) 초기 저항값과 동작점의 설정- 앞의 결과를 이용하여 ... 예비리포트를 쓸 때,으로 설계하였지만, 실제 실험을 하면서를 맞추려 하니을 사용하게 되었다.- 설계된 JFET 바이어스 회로에서 동작점을 실험과정 1에서 구한 JFET 출력 특성 곡선에표시한다 ... JFET 바이어스 회로를 설계한다.
    리포트 | 19페이지 | 3,000원 | 등록일 2008.02.28
  • 실험 7. FPGA를 이용한 4비트 산술논리회로의 구현 및 검증
    실험 7. FPGA를 이용한 4비트 산술논리회로의 구현 및 검증목적 ;1. MyCAD에서 합성한 회로를 FPGA로 구현하는 방법을 익힌다.2. ... 더욱 복잡해지고 있어, 지금까지의 실험처럼 개별 논리회로 소자를 이용하여 회로를 구현하는 것은 비용 및 시간이 많이 소요된다. ... 실험 6의 의 4비트 산술논리회로를 설계한다.2. 1.에서 설계된 회로를 심볼화 시킨 후, 와 같이 입/출력 버퍼를 추가하여 구성하시오. 4비트 산술논리회로(버퍼 추가)3.
    리포트 | 3페이지 | 무료 | 등록일 2006.12.20
  • 논리회로 실험(차동증폭기와 능동 부하) 각종 실험 사진 및 PSPICE를 첨부한 만점 레포트입니다.
    즉,에 따라가 똑같이 반응하여 흐르므로, 원하는 전류를 다른회로에 똑같이 흘려보낼 수 있다.- 실험값, 시뮬레이션값, 이론값에 나타난 전류 미러의 비율을 살펴보면, 실험값에 대해선약 ... 실험 4-1 : 능동 부하 또는 전류 미러 회로1) 전류 미러의 특성(1) 전류 미러의 특성=14.2kΩ=1kΩ↓ ↓ 2V5V10V15V0.114mA0.322mA0.698mA1.082mA0.125mA0.345mA0.733mA1.130mA1.0961.0711.0511.0442V5V10V15V0.091mA0.302mA0.655mA1.009mA0.089mA0.296mA0.643mA0.992mA0.9780.9800.9820.983 ... 4-3 : 차동 증폭기의 응용1) 비교기◇ PSPICE로 시뮬레이션한,,의 파형↙↓↙ 이 회로가 비교기로 동작하는가?
    리포트 | 18페이지 | 3,000원 | 등록일 2008.02.28
  • [디지털논리회로실험] 반가산기 및 전가산기
    실험 4. 반가산기 및 전가산기1. 실험목적반가산기와 전가산기의 설계를 통해 조합논리 회로의 설계방법을 공부한다.설계된 회로의 기능측정2. ... 함수는 다음 식과 같이 구할 수 있다.Carry : 자리 올림 Cn은 그림 4-17(b)에서 다음 식과 같이 구할 수 있다.위의 식에 의해 논리회로를 그리면 다음과 같이 표시된다. ... (전가산기 논리도)3.
    리포트 | 4페이지 | 1,000원 | 등록일 2005.05.21
  • [컴퓨터 공학 실험] 논리 및 연산회로{가산기(Adder),감산기(Subtractor),부호 변환기(Code converter)}
    논리 및 연산회로 Xxx( 이름 ) xxx목 차 가산기 (Adder) 반가산기 (Half Adder) 전가산기 (Full Adder) 병렬 가산기 (Parallel Adder) 와 ... 회로 검증 : 실험값이 진리표의 이론값와 일치하는지 확인한다 .다 -2) 8421(BCD)-2421 부호 변환기 956 = 1110111100(2) 1001 0101 0110(BCD ... 정의 세 개의 입력 단자와 두 개의 출력 단자를 갖고 , 입력 신호의 합과 자리 올림 수를 출력 신호로 나타내는 논리 회로 .
    리포트 | 24페이지 | 1,000원 | 등록일 2009.03.25
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 8장 병렬가산기 및 감산기
    사용 기자재 및 부품? 논리실험기 (Digital Logic Lab. Unit)? 7408 (4조 2입력 AND Gate)? 7432 (4조 2입력 OR Gate)? ... 제 8장 병렬 가산기 및 감산기? 실험 목적MSI/LSI 칩들의 기능을 직접 수행해보고, 이들 침을 이용한 여러 연산회로를 구성하여 그들의 동작원리를 실습을 통하여 이해한다.? ... 실험 순서4-1. 4 bit 2진 병렬 가산기① 4 bit 2진수 2개 ()를 가산하는 회로인 4 bit 2진 병렬 가산기 7483 칩 하나를 브레드보드에 장착하여 [그림 8-6]과
    리포트 | 7페이지 | 1,500원 | 등록일 2005.03.30
  • [전자회로실험] 기본 논리 함수 및 gate와 가산기
    실험 #7 기본 논리 함수 및 gate와 가산기7.1 실험목적1. 몇 개의 IC들의 논리도 및 핀 접속도를 참조하여 각 gate의 입출력 관계를 알아본다.2. ... 조합회로 및 순서회로의 동작원리를 이해하고 그 설계 능력을 기른다.7.2 안전 및 유의사항1.입력 및 출력 전압 확인 및 전기 감전사고 주의2.기구 이상유무 확인7.3 시료 및 사용기기 ... 장학신 외 4명 (광문각)- 전자회로 이홍민 외 2명 (상학당)- 최신 전자회로 최세웅, 허찬욱 共著 (보분당)- 마이크로전자회로 정원섭, 정덕균 共著 (회중당)- 전자공학 실험
    리포트 | 37페이지 | 1,000원 | 등록일 2003.10.25
  • BASIC GATE 논리회로 실험 (PSPICE 사진 ) AND OR NAND NOR XOR GATE의 TRUTH TABLE및 구성원리
    이러한 출력 전압의 형태를 바로 잡아 주기 위하여, pull-up 저항이 필요하다. 1번 회로를 분석해 본다면 따라서 1번 회로는 NOT회로이므로 입력전압이 low일 때 논리적으로 ... 예비보고서에서 구성한 3-INPUT OR , NAND , NOR GATE에 대해서도 위 실험(1)과 같이 각각의 TRUTH TABLE 을 작성하라.① OR GATE 구성IC 7432를 ... A'와 B'라고 하고, 그 합집합 A∪B와 교집합 A∩B의 여집합을 (A∪B)'와 (A∩B)'라고 할 때, (A∪B)' =A'∩B'와 (A∩B)'=A'∪B'라는 법칙인데, 이번 실험
    리포트 | 13페이지 | 3,000원 | 등록일 2007.09.09
  • [전자회로실험] 기본 논리 함수 및 gate와 가산기(결과)
    7.1 실험목적1. 몇 개의 IC들의 논리도 및 핀 접속도를 참조하여 각 gate의 입출력 관계를 알아본다.2. ... 주어진 IC들의 논리도 및 핀 접속도를 참고하여, 다음 그림 7.1에 나타난 회로들을 구성하라. ... HIGH-LOW의 관계 2진수에 대한 논리회로는 이론과 실험치가 같다.
    리포트 | 23페이지 | 1,000원 | 등록일 2003.10.25
  • 논리회로 실험(Shift Register & Ring Counter & Counter)시프트 레지스터 ,링카운터, 카운터 에관한 실험데이터 및 모든 내용을 첨부한 만점 결과 레포트
    논리회로실험 7. Shift Register & Ring Counterⅰ. ... 사용하기에 적절한 unter와 잘 맞을거란 생각이 들었다.논리회로실험 8. ... 바로 앞의 실험에서와 같은 내용이라 실험하는데 큰 무리는 없었다.※ Ring Counter를 실험하면서 생각하였는데, 이 카운터는 논리회로에서 배운 내용인 1-out-of-ncode를
    리포트 | 11페이지 | 5,000원 | 등록일 2008.02.28
  • 울산대 IT융합전공 편입학 학업계획서
    진학 후 학업계획과 진로계획저는 울산대학교 IT융합학과에서 데이터베이스관리, 프로그래밍응용및실험, 논리회로및실험, IT융합응용, 블록체인, 정보보안, 오토마타및응용, 일반물리학1,2 ... , 알고리즘, 3D프린팅및실험, 데이터사이언스, 전기전자회로실험 등의 수업을 들을 계획입니다.
    자기소개서 | 1페이지 | 3,800원 | 등록일 2022.12.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:08 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대