• 통큰쿠폰이벤트-통합
  • 통합검색(3,099)
  • 리포트(2,906)
  • 자기소개서(145)
  • 시험자료(24)
  • 방송통신대(9)
  • 논문(7)
  • 서식(5)
  • ppt테마(2)
  • 이력서(1)

"논리회로및실험" 검색결과 141-160 / 3,099건

  • 논리회로의 간소화, 멀티플렉서를 이용한 조합논리 예비레포트
    실험 목적1) 논리회로의 간소화- 무효 BCD-코드 감지기에 대한 진리표 작성- Karnaugh 맵을 이용한 표현식의 간소화- 간소화된 표현식을 구현하는 회로의 구성 및 시험- 회로 ... 내 결함에 의한 영향 예측2) 멀티플렉서를 이용한 조합논리- 멀티플렉서를 이용한, 비교기 및 패리티 발생기 회로의 구성 및 시험- N-입력 멀티플렉서 하나를 이용한, 2N개의 입력을 ... 논리회로의 간소화, 멀티플렉서를 이용한 조합논리예비레포트1. 실험 제목1) 논리회로의 간소화2) 멀티플렉서를 이용한 조합논리2.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • [건국대학교 전기전자기초실험1 A+][2024 Ver] 13주차 - 예비레포트
    모의실험(1) 모의실험 1 – BCD 7-segment 디코더 모의실험아래는 BCD 7-segment 디코더인 SN74LS48의 회로도이다. ... 주어진 g의 논리식을 AND, OR, NOT 게이트 논리회로를 이용하여 구현하시오.AgCD AB0001111000001101110111XXXX1011XX①~⑦에서 구현한 a~g의 논리회로를 ... 사전 조사 항목(1) 7-세그먼트의 종류, 구조 및 원리에 대해 조사하시오.7세그먼트는 내부적으로 숫자나 문자를 표현하기 위한 7개의 LED와 점을 표현하기 위한 1개의 LED로 구성되어
    리포트 | 19페이지 | 5,000원 | 등록일 2024.08.10
  • 디지털 시스템 설계 및 실습 업다운 카운터 설계 verilog
    실습목적동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다. ... 이 실습을 통해서는 상태 천이를 위한 여러 가지 조건을 순차회로에 적용하는 방법에 대해 알아본다.2.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.03.24
  • 시립대 전전설2 A+ 6주차 예비레포트
    엣지의 시점을 결정하는 논리회로가 없어도 되므로 래치의 논리회로가 간단하다.디지털 시스템 설계에서의 회로를 구성할 때, 조합논리와 결합하여 순차회로의 기능을 구현하는 중요한 요소이다.플립플롭과 ... 실험 목적Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험.Flip-Flop, Register, SIPO, counter 등 다양한 순차회로를 설계Behavioral ... 강의 교안(Verilog-HDL 문법)[네이버 지식백과] 조합 회로 (컴퓨터인터넷IT용어대사전)[네이버 지식백과] 순차 논리 회로 (IT용어사전)PAGE \* MERGEFORMAT2
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • multiplexer 가산-감산 예비보고서(고찰포함)A+
    구성된다.함수발생기논리회로에서는 A,B,C세 개의 입력변수가 주어지면 8개의 논리함수를 만들 수 있다. ... 것을 익힌다.원리 및 이론멀티플렉서멀티플렉서는 N개의 입력 데이터에서 1개의 입력만을 선택하여 단일 channel로 전송하는 것을 말하고, demultiplexer은 이와 반대의 동작을 ... 합성도 가능하다.멀티플렉서를 이용한 논리 회로Y=A⨁B = ĀB+AḆ의 논리식을 의 멀티플렉서로 구성하는 경우는 위의 식에서 S=0 , D0=0, D1=1, D2=1,D3=0로 하면로
    리포트 | 6페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • 디지털 회로 실험 및 설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 2
    디지털회로실험및설계 결과 보고서 #4( Multiplexer, DeMultiplexer 실험 / JK F.F을 이용한 순차회로 실험 )과 목담당교수제 출 일학 번이 름? ... 결과분석- 이 회로는 시간이 없어서 실패했는데, 이론을 바탕으로 결과 예측 및 분석을 해보자면, 위 회로는 JK F.F을 활용한 비동기 카운터로서, 클럭에 NOT게이트가 있어 상향 ... 결과분석- 여러 출력 단자 중에서 하나로 데이터를 내보내는 조합 논리회로인 DeMUX를 잘 활용한 실험 결과였다.- 이론값대로 결과 잘 나왔고, 전압 레벨 또한 High는 4.45V
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • [건국대학교 전기전자기초실험1 A+][2024 Ver] 12주차 - 예비레포트
    즉, 이 회로는 2-입력 NAND 게이트의 동작을 수행하게 된다.논리 게이트 회로의 안정성을 높이기 위하여 RTL 및 DTL 회로를 개선한 것이 TTL 회로이다. ... (회로 및 진리표 포함)↑ AND 게이트 ↑ OR게이트 ↑ NOT게이트(4) NAND 게이트로 AND, OR, NOT 게이트 논리회로를 구현하는 방법을 각각 구하시오. ... (회로 및 진리표 포함)↑ AND 게이트 ↑ OR게이트 ↑ NOT게이트2.
    리포트 | 18페이지 | 5,000원 | 등록일 2024.08.10
  • 아날로그 및 디지털 회로 설계실습 결과보고서7
    결론본 설계실습에서는 논리 게이트 소자를 가지고 다른 논리 게이트 회로를 구성하는 실험을 진행했다. 관찰한 값으로 진리표를 작성하여 비교했다. ... 설계 실습 내용 및 분석2.1 설계한 논리게이트 구현 및 동작(A) Low(0) 값, High(1) 값, Vcc를 각각 0V, 5V, 5V로 설정한다. ... 서론논리 게이트 소자를 이용하여 여러 논리 게이트 회로를 구성하고 예상한 진리표와 결과가 맞는지 확인하는 실습을 진행했다. 또한 시간 딜레이를 측정하여2.
    리포트 | 13페이지 | 1,000원 | 등록일 2024.07.05
  • 전기및디지털회로실험 실험2 예비보고서
    전기및디지털회로실험예비레포트담당교수 :학과 :학번 :이름 :목차실험실험 개요이론 조사- 기본 논리게이트- 논리게이트 IC4. ... 실험 개요(1) AND, OR, NOT, NAND, NOR, EX-OR 게이트의 기본적인 동작원리 및 논리함수를 이해하도록 한다.(2) 실제 사용되는 기본적인 논리게이트 IC에 대하여 ... 실험 기기예비보고서 문제풀이실험 순서참고 문헌실험실험 2. 기본 논리게이트2.
    리포트 | 10페이지 | 1,000원 | 등록일 2023.06.30
  • 실험1. 논리 게이트 예비보고서
    실험 예비 보고(생략) 별도 첨부4. 실험기자재 및 부품4.1 사용기기■ 디지털 멀티미터■ 전원 공급기4.2 사용부품■ TTL 논리 게이트5. ... 실험방법 및 순서집적 소자들은 정전기나 충격전압에 약하기 때문에 전원을 켠 상태로 결선을 하지 않는다. ... ▣ 실험1. 논리 게이트1. 실험 목적본 실험을 통해■ 논리 게이트의 동작 방법을 알아본다.■ TTL 논리 게이트의 문턱 전압에 대해 알아본다.2.
    리포트 | 3페이지 | 1,500원 | 등록일 2022.05.26
  • 전기및디지털회로실험 실험8 예비보고서
    전기및디지털회로실험예비레포트담당교수 :학과 :학번 :이름 :목차실험실험 개요이론 조사실험 기기예비보고서 문제풀이실험 순서실험실험 8. 숫자표시기와 응용2. ... 이 숫자표시기 회로는 이후의 실험에서 숫자를 나타내기 위해 자주 등장하므로 이를 사용하는 회로의 구성과 사용법을 완벽하게 익혀 놓도록 한다.이론조사-7 세그먼트 표시기7세그먼트 표시 ... logic)라고 한다.정논리 AND 게이트에서는 ‘Low’ 상태를 ‘0’의 논리 값으로, ‘High’상태를 ‘1’의 논리 값으로 할당한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.06.30
  • 전기및디지털회로실험 실험M1-2 예비보고서
    전기및디지털회로실험예비레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2이론 조사2실험 기기2예비보고서 문제풀이3실험 순서5참고 문헌8실험실험 M1-2. ... 아두이노의 디지털 입력과 출력은 센서, 엑츄에이터 및 기타 집적회로를 연결할 수 있게 해준다.-아날로그 I/O아날로그 신호는 디지털 신호와 달리 어떤 값도 가질 수 있는 신호다. ... -디지털 Output (Write)(4) 그림 1의 회로를 다시 구성한다.(5) 그림 8과 같이 예제 코드를 불러온다.(6) 컴파일 및 업로드한 후, 스위치를 눌렀다 떼며 그 결과를
    리포트 | 8페이지 | 1,000원 | 등록일 2023.06.30
  • LG디스플레이 회로설계직 인턴 합격자소서
    디지털 논리 회로회로 이론에서 배웠던 회로들을 실제로 실험해 보고 4비트 2진 카운터를 이용해 주차장의 입출차 대수 카운팅 및 차단기를 열게끔 하는 프로젝트를 완성했습니다.개를 ... 중점적으로 활동했던 경험에 대해 작성해주세요2학년 2학기에 기초 회로 실험을 통해 DC supply, 오실로스코프, 파형 및 함수 발생기와 같은 장비들에 대한 조작법을 알 수 있었습니다.또한 ... 실험을 통해 전자 회로 이론 수업 때 배웠던 증폭기나 pn접합 다이오드, bjt 증폭기등을 실제로 실험하였습니다.학기말에는 CDS 조도 센서 프로젝트를 수행했는데 빛의 세기에 따른
    자기소개서 | 2페이지 | 3,000원 | 등록일 2024.02.02
  • A+받은 드모르간의 법칙 결과보고서 PSPICE
    실험 내용 및 방법부울함수의 법칙에는 실험 9에서 다룬 AND, OR 등의 기본 논리함수에 적용되는 대수적인 규칙 이외에 De Morgan 이론에 의한 규칙이 있는데 이를 De Morgan ... De Morgan 법칙은 복잡한 논리함수의 간략화 과정에 이용되기도 한다.(1) NOT 회로논리변수의 역을 나타내는 회로이며 입력이 “1”이면 출력이 “0”이고, 입력이 “0”이면 ... NOT 회로의 진리표는 표1과 같고 회로 기호는 그림 1과 같이 나타낸다. NOT 회로의 부울함수의 표현식은 다음과 같다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.12.28
  • A+받은 플립플롭 회로(flip-flop, JK, SR) 결과보고서 PSPICE
    실험 내용 및 방법 디지털 논리 회로는 크게 조합 논리 회로와 순서 논리 회로 두 가지로 분류된다. 조합 논리 회로는 출력이 현재의 입력에 대해서만 결정되는 회로이다. ... 순서 논리 회로는 다음 출력이 현재의 입력과 현재의 출력에 의해서 영향을 받는 회로이다. ... 이 러한 순서 논리 회로의 기본이 되는 회로가 flip-flop인데 이의 종류에는 RS, JK, D flip-flop이 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.12.28
  • 122. (전공_PT 주제) 반도체 디지털 회로설계 의 개념과 기술동향을 설명한 후, HDL 코딩에 관하여 설명하시오.
    ※학사 수준에서는 논리 게이트와 불 대수의 기본 개념을 배웁니다. 논리 연산자와 진리표를 사용하여 간단한 논리 회로를 설계하고 HDL 코드로 표현하는 방법을 학습합니다. ... HDL 코딩, 시뮬레이션, 게이트 레벨 합성, 포스트 시뮬레이션을 통한 회로의 동작 및 특성 확인과 같은 반도체 설계 과정을 수행하고, 이를 토대로 회로를 설계 및 검증하고 고객에게 ... H D L 코딩에 필요한 지식(1) 불 대수(Boolean Algebra)불 대수는 디지털 회로에서 논리 연산을 기술하는 데 사용됩니다.
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.06.09 | 수정일 2024.06.05
  • 시립대_전전설2_Velilog_예비리포트_1주치
    실험 목적- 각각의 TTL을 이용하여 논리 회로를 설계 및 실험 해본다.2. ... 결과와 예상 결과하고 실제 실험 결과를 비교 및 분석한다.3) 반가산기 회로 실험(1) 위의 이론부분에서 실습회로와 교안의 사진을 참고하여 브레드보드에 회로를 구성한다.(2) TTL ... 실험 결과를 비교 및 분석한다.5.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    실험 이론(1) 조합 논리 회로- 논리 곱(AND), 논리 합(OR), 논리 부정(NOT)의 세가지 기본 회로를 조합하여 구성한 논리 회로- 출력이 입력에 의해 결정됨.- 논리 게이트로만 ... 실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기 ... IT CookBook, 디지털 논리회로. 조합논리회로.
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 홍익대 디지털논리실험및설계 5주차 예비보고서 A+
    디지털 논리실험 및 설계 5주차 예비보고서1. 실험 준비1.1 기본 실험 (2)의 전가산기 [그림 2]는 반가산기 [그림 1] 두 개와 하나의 OR 게이트로 이루어져 있다. ... [그림 2]의 회로가 전가산기로 동작하는 원리를 설명하시오.가산기는 이진수의 덧셈 연산을 수행하는 논리회로이다. ... , (2)의 회로를 구현하시오.전가산기 두 개를 직렬로 연결하면 두 자리 이진수의 덧셈 연산을 할 수 있는 논리회로가 구현될 것이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.09.18
  • 광운대학교 전기공학실험 M2. 아날로그 및 디지털 기초 회로 응용 결과레포트 [참고용]
    실험 명M2. 아날로그 및 디지털 기초 회로 응용2. ... 그 이후 버튼과 논리회로를 직접 연결하지 않고, 아두이노를 통해 스위칭 입력을 받은 후 논리게이트에 스위칭 정보를 전달해준다. ... 실험 개요앞서서 진행했던 아날로그 및 디지털 기초 회로의 동작을 아두이노를 이용해 되풀이하고 패키지 소자들을 이용하여 하드웨어 수작업으로 구현했던 회로와 비교했을 때 어떤 부분에서
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:09 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대