• 통큰쿠폰이벤트-통합
  • 통합검색(3,099)
  • 리포트(2,906)
  • 자기소개서(145)
  • 시험자료(24)
  • 방송통신대(9)
  • 논문(7)
  • 서식(5)
  • ppt테마(2)
  • 이력서(1)

"논리회로및실험" 검색결과 161-180 / 3,099건

  • 디지털 시스템 설계 및 실습 패리티검사기 설계 verilog
    1. 실습목적패리티 비트는 데이터 전송 도중 오류가 발생했는지 검사하는 데 사용된다. 수신측에서는 송신 측에서 전송한 데이터에 대해 데이터에 포함된 ‘1’의 개수를 카운트하여 오류가 발생했는지 판단한다. 이 실습에서는 데이터 오류를 검사하는 데 사용되는 패리티 비트에 ..
    리포트 | 3페이지 | 1,500원 | 등록일 2020.11.02
  • 아날로그 및 디지털 회로 설계실습 결과보고서9
    수치를 포함하여 요약한다.9번 실험에서는 조합 논리 회로의 설계 방법을 학습하였다. 특히 전가산기 회로를 구현하였다. ... 설계실습 내용 및 분석9-4-1 설계한 전가산기 회로의 구현(2-level 로직 회로)설계실습계획서에서 그린 2-단계 전가산기 회로를 토글 스위치와 LED를 추가하여 설계 및 구 현하여라 ... 입출력 단자의 전압을 하나 하나 측정하는 대신 LED를 활용하여 결과를 시각적으로 확인할 수 있었다.9-4-1 실험에서는 AND와 OR를 사용하여 회로를 설계하였고 9-4-2 실험에서는
    리포트 | 8페이지 | 1,000원 | 등록일 2024.07.05
  • 충북대 기초회로실험 4-비트 산술논리회로 결과
    논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(8) 실험 4와 실험 5 및 실험 7에서 설계된 심볼을 이용하여 의 4비트 산술논리회로를 그리고 시뮬레이션을 한 다음 심볼화 ... BXOR111xF =bar{B} 보수비고 및 고찰이번 실험에서는 Pspice를 이용하여 몇 가지 회로를 설계해 보고, 최종적으로 4-bit 산술논리회로를 설계하여 시뮬레이션을 해 보는 ... 실험 12. 4-비트 산술논리회로(결과보고서)실험 결과(1) Pspice를 이용하여 의 (a)와 같이 1비트 전가산기를 그리고 시뮬레이션을 한 다음 (b)와 같이 심볼화 하라.(2)
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.10
  • 전기및디지털회로실험 실험 M2 결과보고서
    전기및디지털회로실험결과레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2실험 결과2결과 보고서9실험 고찰13실험실험 M2. 아날로그 및 디지털 기초 회로 응용2. ... 실험 결과- 키르히호프의 전압법칙 및 전류법칙(1) “직류회로에서의 측정 실험”의 그림 2의 회로를 브레드보드에 구성하고, V1 전원의 양극에는 아두이노 보드 파워의 5V를, 음극에는 ... 실험 개요기존에 수행했던 아날로그 및 디지털 기초 회로의 동작을 아두이노를 이용해 되풀이해보고, 패키지 소자들을 이용해 하드웨어 수작업으로 구현했던 과거 회로와 비교하여 어떤 부분이
    리포트 | 14페이지 | 1,000원 | 등록일 2024.03.12
  • 2023상반기 현대자동차 R&D 합격 자소서
    이후 제어시스템 설계, 머신러닝 과목을 이해하는 기반을 다졌습니다.5) 논리회로 A+ : 플리플랍, 카운터 등을 설계하며 논리소자에 대한 기초를 학습했습니다. ... 컴파일 에러 발생 시, 시뮬레이션 결과를 바탕으로 원인을 파악하는 역량을 길렀습니다.3) 아날로그 회로실험 A+ : 브레드보드와 오실로스코프 등을 이용해 R, L, C회로와 증폭기를 ... 또 논리회로 기초지식을 바탕으로 입출력 제어 로직을 이해하고, 시스템 제어기 개발 업무를 원활히 수행하겠습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.07.12
  • 인코더와 디코더 회로 예비 보고서(고찰포함)A+
    실험을 하면 확실이 디코더와 인코더의 개념과 기능이 숙지 및 숙달이 될꺼 라고 생각하였다. 7 -세그먼트 표시기는 개념이 부족하여 예비보고서를 작성하면서 이론을 보면 숙지하였고. ... )라 고 한다.디코더디코더는 코드화 된 입력을 출력으로 변환하는 다중-입력,다중-출력 논리회로이다. ... 디코더는 조합회로로서 n개의 binary 입력신호로부터 최대2^n개의 출력신호를 만들 수 있다.회로의 enable 입력이 존재한다면 반드시 정상적인 논리출력을 얻기 위해서는 enable
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • 광운대학교 전기공학실험 실험7. 디코더와 인코더 결과레포트 [참고용]
    더해서 디코더와 인코더의 원리와 구성방법을 실험을 통해 이해하고 이를 응용 및 설계 하여 실용적 목적 회로 구성에 대한 연습을 진행한다.3. ... 고찰본 실험을 통해 디지털논리회로 설계의 과정 중 NAND, NOR게이트로의 변환을 통해 공학적으로 더 나은 회로를 구성하도록하는 방법을 알 수 있다. ... 수 있음을 실험적으로 확인할 수 있으며, E1, E2, E3등에는 순서 맞는 일종의 convention이 있어 이에 주의하여 회로를 연결 및 구성해야한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2024.01.02
  • 전전설3 Diode 실험 3 정전압 회로와 리미터
    실험 목적본 실험에서는 다이오드를 이용한 전압 레귤레이터, 클림핑 회로, 클램핑 회로, Voltage Doubler, 논리 게이트를 구성하고 그 특성을 확인한다.B. ... 실험 이론 및 과정 개략 설명다이오드 정류회로를 통과한 출력은 리플이 크기 때문에 전압 레귤레이터를 이용해야 DC 전압을 만들어 낼 수 있다. ... [사진 2]의 오른쪽 등가회로에서 Line Regulation과 Load Regulation은 다음과 같이 얻을 수 있다.리미팅 회로는 입력이 다이오드의 cut-in-voltage보다
    리포트 | 9페이지 | 2,000원 | 등록일 2023.11.25
  • 디지털 회로 실험 및 설계 - JK Flip Flop, D, T Flip Flop 실험 2
    디지털회로실험및설계 결과 보고서 #3( JK Flip-Flop 실험, D, T Flip-Flop 실험 )과 목담당교수제 출 일학 번이 름? ... 회로도, 이론값, 실험결과, 결과분석실험1) 다음 회로를 구성하고, 표를 완성하시오.- 이론값JKQQ'0*************101101- 실험결과J=0, K=1, Q'=1 J=0 ... 완전 5V가 아닌, 4.3V 수준의 5V에 매우 비슷한 값이 나왔다.)실험4) 다음 회로를 구성하고, 표를 완성하시오.
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 아날로그 및 디지털 회로 설계 실습 결과보고서7 논리함수와 게이트
    아날로그 및 디지털 회로 설계 실습-실습 7 논리함수와 게이트-설계실습 내용 및 분석설계한 논리게이트 구현 및 동작Low(0) 값, High(1) 값, Vcc를 각각 0V, 5V, ... 및 출력파형ABY001010100110XORXOR회로도 및 출력파형ABY000011101110NAND 게이트만 사용하여 AND, OR, NOT 게이트의 등가회로를 구성한다. ... 마지막으로 Digital의 가장 기본적인 게이트 소자의 특성을 배우고 실습하여 쉬운 실험을 하였지만, 한편으로 가장 중요하고, 기초가 될 수 있는 Digital 회로를 배운 기회라고
    리포트 | 24페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 시립대 전전설2 A+ 4주차 예비레포트
    실험 목적31.2. 배경이론 및 개념32. 사전 조사83. In-Lab 실험 내용 및 예상결과153.1 실험 내용153.2. 예상결과194. 참고 문헌251. 서론1.1. ... 실험 목적Veriolog HDL 언어를 사용한 Combinational Logic 설계 및 실험설계한 로직을 시뮬레이션하기 위한 테스트 벤치의 작성 및 장비 동작 실험연산 로직, 비교기 ... 표현을 이용한 조합논리회로 모델링에 이용연속 할당문의 지연값 지정assign 뒤에 지연 연산자(#)를 사용하여 지정우변 피연산자 값의 변화에서부터 그 값이 좌변에 할당되기까지의 시간
    리포트 | 25페이지 | 2,000원 | 등록일 2024.09.08
  • 부산대 어드벤처디자인 실험9 A+ 결과보고서(4비트 가산기)
    실험 책 p.86의 9.6 실험 결과 및 검토 (1)~(3)(1)입력출력AiBiAdd/SubS3S2S1S0Overflow34+0111X2-3-0101X-42+1110X74+101104 ... 7주차 실험 보고서(실험 6)1. 4비트 가산기 연결 실험에 대한 이론값과 결과값에 대한 비교[사진 1] 4비트 가산기 회로 [사진 2] 4비트 가산기 이론값[사진 3] 4비트 가산기 ... [사진 1]은 4비트 가산기를 회로로 연결한 모습이고 [사진 2]는 4비트 가산기의 진리표를 나타낸 그림이다. 이론값과 실험값을 비교하면 실험값과 이론값은 동일하게 나타나였다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.04.09
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 7차 예비보고서
    아날로그 및 디지털 회로 설계 실습예비보고서설계실습 7. 논리함수와 게이트소속전자전기공학부학수번호실험 조x조조원 이름작성자실험날짜2023.11.02제출날짜2023.11.021. ... NAND 게이트 설계 및 특성 분석(A) VCC를 5V (논리값 1)에서 0V (논리값 0)로 단계적으로 변화시켜서 NAND 게이트가 동작하는 최소 정격 전압을 구하는 설계 방법을 ... 시간은 논리회로가 입력신호를 받고서 출력 결과를 나타낼 때까지 걸리는 시간을 의미한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2024.02.17
  • 디지털 회로 실험-논리함수의 간략화
    디지털 회로실험실험4. 논리함수의 간략화1. 목적-드모르간의 정리를 실험적으로 증명한다.-카노프맵에 의한 논리함수의 간략화를 익힌다.2. ... 카노프 맵은 2변수, 3변수, 4변수, 및 5변수로 이루어진 모든 논리식에 적용할 수 있다. ... C=(A+B)’, (A+B)’=A’B’카노프 맵(Karnaugh Map)을 이용한 논리회로의 간소화 : 카노프 맵은 부울 대수식을 간소화하기 위한 체계적인 방법으로 논리회로의 진리표를
    리포트 | 11페이지 | 2,000원 | 등록일 2022.09.10
  • 디지털 회로 실험 및 설계 - 부울대수와 카르노맵, RS Flip Flop 실험 2
    디지털회로실험및설계 결과 보고서 #2( 부울대수와 카르노맵, RS Flip-Flop 실험 )과 목담당교수제 출 일학 번이 름1. ... 회로도, 이론값, 실험결과실험 1) 다음 회로를 시뮬레이션하고 표를 완성하라. ... , A'BC' , A'BC , AB'C 이 식들을 카르노맵을 이용하여 간소화 시키면,BCA000111100011110100고로 Y = B'C + A'C + A'B가 되고, 이를 논리회로
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트
    실험 장비 및 부품- Digilent Nexys4 FPGA Board- Vivado Design Suite 2014.44. ... FPGA Board를 이용한 FSM회로의 구현 (up-counter)예비레포트1. 실험 제목1) FPGA Board를 이용한 FSM회로의 구현 (up-counter)2. ... 용도 및 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다.3.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • 아날로그 및 디지털회로설계실습 4-bit Adder
    아날로그 및 디지털회로 설계실습예비 REPORT9. 4-bit Adder 회로 설계분 반교 수 명실험 날짜제출 날짜조학 번이 름요약 : 조합논리회로의 설계 방법을 이해하고 조합논리회로의 ... 서론조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다.2. 실험결과9-3. ... 참고문헌- 아날로그 및 디지털회로 설계실습 교재
    리포트 | 4페이지 | 1,000원 | 등록일 2021.12.15
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 결과보고서 9. 4-bit Adder 회로 설계
    요약 : 본 실습 9에서는 3가지 실험을 진행했다. ... 설계실습 내용 및 분석9-2-1 설계한 전가산기 회로의 구현 (2-level 로직 회로)설계실습계획서에서 그린 2-단계 전가산기 회로를 토글 스위치와 LED를 추가하여 설계 및 구현하여라 ... 서론조합 논리 회로란, 논리 회로에서 그 출력이 생각하고 있는 시점에서의 회로 입력 값만으로 정해지는 회로를 의미한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.02.06 | 수정일 2023.02.10
  • 전전설2 실험1 결과보고서
    실험 목적TTL을 이용한 논리 회로 구성을 이해하고 다음과 같은 내용을 포함하여 실험 및 설계 능력을 함양한다.- OR 게이트논리 회로 실험- XOR 게이트논리 회로 실험- 반가산기 ... LED 동작 전압)/LED전류 = 3/0.01 = 300Ω[2-4] 1-bit 반가산기와 전가산기에 대하여 논리 회로도 및 동작을 조사하시오.두 개의 입력 비트(A, B)를 더하여 ... 회로 실험- 전가산기 회로 설계2.
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • 부산대학교 어드벤쳐디자인 7장 결과보고서
    기본적인 논리 게이트 소자로는 아래 그림과 같이 AND, OR 및 NOT(inverter) 게이트가 있다. ... 또한 논리회로를 간략화하는 방법을 이해하고, AND, OR, NOT, XOR, NAND, NOR, NXOR 게이트를 사용하여 간략화된 다단 논리회로의 설계 방법을 익힌다.2. ... 실험 목적기본적인 논리 게이트인 AND, OR, NOT, NAND, NOR 등의 기능에 대해 알아본다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.11.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:14 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대