• 통큰쿠폰이벤트-통합
  • 통합검색(1,307)
  • 리포트(1,151)
  • 자기소개서(84)
  • 방송통신대(33)
  • 시험자료(29)
  • 논문(6)
  • 서식(1)
  • 표지/속지(1)
  • ppt테마(1)
  • 노하우(1)

"디지털 시계 설계" 검색결과 61-80 / 1,307건

  • 디지털 도어락 및 디지털 시계 및 알람(digital door lock, digital clock) -vhdl 논리설계회로
    시계모드 , 디지털 시계설정모드, 알람모드, 그리고 다른 모드들과 동시에 작동하는 음소거 모드가 있다. ... 컴포넌트로는 7segment , key입력 컴포넌트, piezo컴포넌트 dot matrix컴포넌트, lcd컴포넌트 , 디지털 시계 컴포넌트가 있으며 이들을 전부 합쳐 가장 상위의 모듈에서 ... 설계 및 구현1.설계개념최대한 여러 개의 컴포넌트를 따로 구현하여 컴포넌트를 합치면서 설계한다.
    리포트 | 48페이지 | 3,000원 | 등록일 2011.06.03
  • [디지탈설계] 디지탈 시계설계
    시계 및 시 조정 회로 설계와 동작원리디지털 시계의 가장 기본이 되는 부분이다. ... 카운터 설계카운터는 디지털 시계 설계시 모든 부분에 쓰이는 회로이다.세그먼트에 나타나는 시계가 움직이는 동작원리에도 쓰이며 알람, STOP WATCH에도 카운터를 사용하여 각 세그먼트에 ... STOP WATCH 설계 및 동작원리STOP WATCH는 알람과 디지털 시계의 동작원리가 비슷하지만 몇가지 다른점이있다.시계처럼 일정한 분주기를 사용하지만 0.01초 단위로 뛰는 분주기를
    리포트 | 26페이지 | 1,500원 | 등록일 2003.05.21
  • 디지털 시스템 설계 / VHDL을 활용한 디지털 시계(기능 막강)
    설계 / 회로도(1) 파형에 대한 정리이번 설계를 하면서, 가장 첫 번째 중요한 것은 16Mhz라는 것이다.16Mhz => 100Hz => 10Hz => 1Hz로 만들면서, 시계를만들 ... 개요이번 디지털 시계를 만드는 과제는 디지털 공학2의 최종결정판으로 써 교재(디지털 공학) / 실험 등에서 배운 PLD를 활용하여 만드는 것이다.PLD 는 Programable Logic ... 이것이 Byte Blaster 이다.PLD (Programable Logic Device) :디지털 시계의 머리부분이다.
    리포트 | 17페이지 | 1,500원 | 등록일 2007.11.18
  • [디지털회로실험]디지털 시계 설계(PLD)
    분, 초 디지털 시계[목적]1. 디지털 시계설계중 분과 초에 해당하는 카운터를 설계한다.2. PLD를 이용한 디지털 실험을 통해 회로 구현을 수행한다. ... 「시」디지털 시계[목적]1. 디지털 시계설계중 시에 해당하는 카운터를 설계한다.2. PLD를 이용한 디지털 실험을 통해 회로 구현을 수행한다. ... [기본이론]12시까지 시간을 카운터하는 12진 카운터를 설계하고, 시간의 일의 자리와 십의 자리의 12진 디코더를 설계하므로써 「시」영역의 디지털 시계를 만들 수 있다.(1) 12진
    리포트 | 9페이지 | 1,500원 | 등록일 2005.12.10
  • 24진 디지털 시계 설계시 필요한 부울 대수
    6진카운터Inorder: S;Outorder: A,B,C,Z;A=S*B*C+S*A*/C;B=S*B*/C+S*/B*C*/A;C=S*/C;/Z=/A*/C*S;6진디코더Inorder: A,B,C,D;Outorder:Y0,Y1,Y2,Y3,Y4,Y5,Y6;Y0=C+D*B+/D*..
    리포트 | 3페이지 | 1,000원 | 등록일 2008.06.08
  • 로직웍스 디지털시계 설계 시분초 오전오후 동기식
    로직웍스 디지털시계 설계 시분초 오전오후 동기식
    리포트 | 4,000원 | 등록일 2009.05.20
  • 논리회로 설계 및 구현-프로젝트명 : 디지털 시계 제작을 통한 논리회로 설계 및 구현
    논리회로 설계 및 구현프로젝트 보고서디지털 시계 제작논리회로 설계 및 구현프로젝트 보고서프로젝트명 : 디지털 시계 제작을 통한논리회로 설계와 구현과 목논리회로교수님조 원제출일2010년 ... 설계회로도1) 전체 회로도그림2 디지털 시계 블록도2) 회로 상세 분석a. 1Hz clock 발생회로기준 신호 클록 발생회로는 디지털시계의 정확도에 매우 큰 영향을 주게 된다. ... 시계 설계 및 구현0.
    리포트 | 76페이지 | 4,000원 | 등록일 2010.09.13 | 수정일 2014.11.20
  • 디지털 시계 설계 기초 및 회로도와 C소스
    ..FILE:digital watch.c#include int S[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xd8,0x80,0x90};int i, hh
    리포트 | 15페이지 | 1,000원 | 등록일 2007.06.16
  • 논리회로실험 - 제 10장 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계설계 결과보고서
    . 7-segment에 대해서 이해해보았고, 이를 출력으로 이용하여 디지털 시계설계해보았다. ... IntroductionVHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계설계해보고 이를 Training Kit에 검증해보는 실험이다 ... 이번 실험은 VHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계설계해보고 이를 Training Kit에 검증해보는 실험이었다
    리포트 | 32페이지 | 1,000원 | 등록일 2014.08.15
  • 디지탈 시계설계[파워포인트]
    (동작원리)구성요소고 찰..PAGE:25디지털 시계 설계 발표소개김홍태9705-130김준오9705-124이미진9905-1765 조PROFESSOR임동민교수님소개시계성능회로(동작원리) ... :20디지탈실험.digital clock소개시계성능회로(동작원리)구성요소고 찰..PAGE:21디지탈실험.digital clock_소개시계성능회로(동작원리)구성요소고 찰..PAGE:22디지탈실험.digital ... 찰..PAGE:16디지탈실험.digital clo_소개시계성능회로(동작원리)구성요소고 찰..PAGE:17디지탈실험.digital cloc_소개시계성능회로(동작원리)구성요소고 찰..
    리포트 | 42페이지 | 1,000원 | 등록일 2003.05.21
  • [디지털] [텀프로젝트]Maxplus2를 이용한 디지털시계 설계
    시간을 나타내는 워치와 스탑워치, 이둘을 선택하는 모드셀 부분으로 구성되어 있고, 이들을 출력할수 있는 7세그먼트로 구성되어 있습니다.
    리포트 | 20페이지 | 8,000원 | 등록일 2004.12.24
  • Altera Quartus를 이용한 디지털시계 설계 소스 및 ppt 자료(시계,알람,스탑워치 기능포함 소스)
    디지털 설계소스 및 파워포인트 자료목차1.시계의 기능 및 작동원리2.블록다이어그램 및 코드3.시뮬레이션 결과
    리포트 | 15페이지 | 4,000원 | 등록일 2008.11.03
  • Altera FPGA 와 Max+2 를 이용한 알람 기능의 디지털 시계 설계 및 구현
    때문에 현재 시간설정 ↔ 일반시간 표시모드를 하는 Switch_1과 알람시간설정 ↔ 일반시간 표시모드의 양 동작을 분리해서 설계한다.
    리포트 | 41페이지 | 2,500원 | 등록일 2007.03.29
  • [회로설계]VHDL로 구현한 LCD(시계표현) 및 세그먼트(알람시각)를 이용한 디지털 시계
    JDF E// Created by ISE ver 1.0PROJECT lcd_seg_watchDESIGN lcd_seg_watch NormalDEVKIT xcs10-3pc84DEVFAM spartanFLOW FPGA Express VHDLMODULE count25.vhd..
    리포트 | 1페이지 | 3,500원 | 등록일 2003.12.08
  • 디지털 시계 회로 제작 보고서
    전기전자 기초실험 및 설계Term Project 보고서디지털 시계 회로 제작1. 실험 주제디지털 논리 회로를 이용한 디지털 시계 제작2. 실험 원리그림 1. ... 디지털 시계 회로그림 1은 우리 조가 설계디지털 시계의 회로도이다. 이 회로를 구성하기 위해서는 ? 하부의 발진회로 및 분주회로와, ? ... 발진회로 및 분주회로발진회로는 디지털 시계에 안정적인 클록을 제공할 목적으로 설계되는 회로다. 우리 조는 RC 발진회로를 이용하였다(그림 2).
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.27
  • (10가지 기능, 코드 전체 포함, 직접 작성한 코드, 확장성 좋은 코드)서울시립대학교 전전설2 10주차(Final) 예비레포트(코딩 매우 성공적, A+, 10점 만점 11점, 디지털 시계)
    Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 디지털 시계설계한다. ... 시계의 필수 기본 동작을 포함하고, 다양한 선택 동작을 설계 및 구현한다.디스플레이 동작의 다양성을 설계 및 구현한다.2. ... 수행 과제(1) Lab 2Text LCD를 이용하여 Digital Clock을 구현하시오.필수 기능 : 시/분/초 표현과 시간 조정 기능을 포함부가 기능 : 자유롭게 추가할 것 (예
    리포트 | 33페이지 | 3,700원 | 등록일 2020.07.22 | 수정일 2020.12.07
  • LG디스플레이 회로설계직 합격자소서 입니다
    주로 verilog HDL을 사용하여 디지털회로를 구현하는 수업이 대부분을 차지했습니다. 중간고사가 끝난 후, 교수님이 제시한 프로젝트는 디지털 시계 제작이었습니다. ... 그 당시, 디지털회로실험의 다음 단계의 수업인 디지털회로설계 및 언어를 듣고 있었기 때문에, 저 역시 회로에 대한 문제 해결 능력, 문제점에 대해서 여러 가지로 접근할 수 있는 방법에 ... 특히, 이 경험을 통해 회로에 대한 문제 해결 능력을 키울 수 있게 되었습니다.2012년 1학기에 디지털회로 설계 및 언어라는 과목을 들었습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.11.19
  • 디지털 시계 만들기 제안서
    카운터, 7-Segment, 분주 회로, 오실레이터 등을 사용해 디지털 시계를 구성하며 Flow Chart를 토대로 디지털 시계설계를 진행한다.? ... [프로젝트 개요]디지털 시계란 카운터를 이용해 설계할 수 있는 대표적인 순차 회로 중 하나이다. ... 디지털 시계 설계 Flow Chart(1) 시/분/초 표시 기능? 크리스탈 오실레이터에서 크리스탈 칩을 통해 10Mhz를 발생시킨다.?
    리포트 | 6페이지 | 2,000원 | 등록일 2021.06.01
  • [디지털 공학 실험] 7-seg로 디지털 시계 만들기 보고서
    실험 목적7조제출일자팀원이름(학번)설계 목표● 한 학기 동안 배운 디지털 공학 실험 이론을 통하여 디지털 시계설계한다.- SET 단자를 누를 시 시계의 동작 기능을 한다(시/분/ ... /1589239 빵판에다 만드는 디지털 시계(Digital Clock)https://www.youtube.com/watch? ... PPT에 넣을 문제점 정리.참고자료http://murcielrago.tistory.com/13 [디지털 클락] Digital Clock 제작에 필요한 IC Chiphttp://rabe.egloos.com
    리포트 | 12페이지 | 2,000원 | 등록일 2020.01.01
  • 홍익대_디지털논리회로실험_3주차 예비보고서_A+
    디지털 논리실험 및 설계 3주차 예비보고서실험 준비1.1 기본 실험 (1)의 회로가 2-bit 복호기인 이유를 설명하시오.기본 실험 (1)의 회로는 입력 A,B를 받아 Y0, Y1, ... decoder 7447과 7-segment 5161의 datasheet 를 확인하시오.BCD to 7-segment decoder 7447은 총 16개의 핀이 있으며 머리 하단 기준으로 반시계
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:15 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대