• 통큰쿠폰이벤트-통합
  • 통합검색(321)
  • 리포트(308)
  • 시험자료(13)

"전감산기 설계" 검색결과 61-80 / 321건

  • 소방기사 필기 - 소방관계법규
    1.3km인 지하가 중 터널 ②연면적 600㎡인 볼링장③연면적 500㎡인 산후조리원 ④지정수량100배의 특수가연물을 저장하는 창고■자동화재탐지설비 설치 :터널 길이 1000m↑이 소화기를 ... 산출한다.②신인도평가액 산정시 최근 1년간 국가기관으로부터 우수시공업자로 선정된 경우에는 3% 가산한다.③신인도평가액 산정시 최근 1년간 부도가 발생된 사실이 있는 경우에는 2%를 감산한다 ... 4①공사업자의 소방시설공사업 등록증 사본②공사업자의 소방시설공사업 등록수첩 사본③해당 소방시설 공사의 책임시공 및 기술 관리를 하는기술인력의 기술등급을 증명하는 서류 사본④해당 소방시설을 설계
    시험자료 | 15페이지 | 2,500원 | 등록일 2022.03.03
  • 가산기와 감산
    가산기와 감산기, 4비트 2진 비교기 실험● 실험 목적- 반가산기, 반감산기, 전가산기, 전감산기 회로를 만들어 보고, 정상 동작 하는지 실험을 통해 알아보고, 논리식으로 검토해 본다 ... 구하는 논리회로이다.② 반감산설계- 각각 2개의 입력 단자와 출력 단자를 가지는 회로로써, 두 개의 비트를 빼서 Difference와Borrow를 구하는 회로이다.③ 전가산기 ... 반가산기를 조합하여 4비트 이상의 덧셈을 할 수 있다.● 실험 구성④ 전감산기 설계- 각각 3개의 입력 단자와 2개의 출력 단자를 가지는 회로로써, 뺄셈해야 할 2개의 비트와 다른
    리포트 | 7페이지 | 1,000원 | 등록일 2017.06.07
  • 두 개의 BCD 입력을 받아, EX-3로 변환 후 뺄셈을 수행하는 감산설계 및 제작
    (floating 시키지 않는다.)② 입력된 두자리 BCD수는 EX-3 코드로 변환 한 뒤, LED를 이용하여 값을 보이게 한다.③ 감산기를 별도로 사용하지 않고 4비트 전가산기와 ... 개요 두 개의 BCD 입력을 받아, EX-3로 변환 후 뺄셈을 수행하는 감산설계 및 제작2. ... SWB의 3초과코드의 1의 보수를 더하는 가산기지만 결론적으론감산하는 것이므로 감산기라 칭한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2015.11.25
  • 가산기와 감산기 회로 레포트
    가산기와 감산기 회로1. 실험목적① 가산기 회로 설계 및 실험② 감산기 회로 설계 및 실험③ BCD 가산기 회로 설계 및 실험2. ... 실험(4)에서는 실험(3)의 회로에서 NOT칩을 추가하여 전 감산기를 설계할 수 있었다. ... 반가산기 2개로 전가산기를, 반감산기 2개로 전감산기를, 감산기의 경우 감산기모듈 외에 보수를 취해 가산기로 만들 수 있다는 것을 알게 되었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.21
  • A+받은 TTL 7483을 이용한 4자리 가감산
    의해 정해져서 4자리 가감산기 계산이 완성되는 것을 알 수 있고 결과값을 확인할 수 있다.WinCUPL을 이용한 4자리 가감산설계 및 모의실험모의실험 결과전가산기부의 CUPL 코드와 ... 조합회로 ㅣ모의실험 및 분석TTL 7483을 이용한 4자리 가감산기 모의실험회로도를 통해 cB1~cB4가 B1~B4와 SUB 값에 의해 결정되고S5가 A4, B4, SUB, C4에 ... Carry값이 출력되고 SUB 값인 C0과 A1~A4와 B1~B4에 의해 S1~S4가 출력된다.모의실험 결과XOP 논리부의 CUPL 코드와 모의실험 결과는 다음과 같다.4자리 가감산기의
    리포트 | 1페이지 | 1,000원 | 등록일 2019.09.06
  • 아주대학교 논리회로실험 실험3 가산김.감산기 결과보고서
    전감산기는 반 감산기 2개를 이용하여 구현하였다. 전감산기는 입력 변수 와 윗자리로부터 빌려온 빌림수의 빌림수 없는 차와 새로운 빌림수를 구하는 것이다. ... 실험은 반가산기의 회로를 미리 설계하였다. ... 실험4의 전감산기는 두 입력 변수와 윗자리로부터 빌려온 빌림수 이 세 비트의 빌림수 없는 차와 새로운 빌림수를 구하는 것이다.
    리포트 | 6페이지 | 1,500원 | 등록일 2019.02.20
  • 실험 3. 가산기와 감산기(Adder & Subtractor)
    (6) 전가산기를 이용하여 전감산기설계하고 위의 문제 (4)에서 구성한 회로와 비교하시오.- 위의 진리표에서 확인할 수 있었듯이 전가산기의 합과 전감산기의 차는 일치한다. ... ZZ\XY000111100010011110Z\XY000111100010111010(5) 반감산기를 이용하여 전감산기를 구성하시오.전가산기를 이용하여 전감산기의 논리회로 및 시뮬레이션 ... 따라서 가산기와 감산기는 그 뜻 실제로는 모두 가산기로서 사용된다.(7) 가산기를 설계하는 방법에는 serial과 parallel 2가지의 방법이 있다.
    리포트 | 3페이지 | 2,000원 | 등록일 2012.03.11
  • [기초전자회로실험1] "Verilog HDL을 이용한 Full Adder와 Half Adder의 설계 및 FPGA를 통한 검증" 예비보고서
    연산하고, 자리올림수는 자리올림수 출력(carry out)에 따라 출력한다.ABXCS0000000101010010111010001101101101011111● 전가산기전가산기(full ... 하나의 전가산기는 두개의 반가산기와 하나의 OR로 구성된다.● 4bit adderC _{0}에 0이 들어가면 이진수의 네 자릿수를 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다 ... 할 수 있다.4bit full adder을 이용한 감산5.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.19 | 수정일 2019.04.01
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습3 [예비레포트]
    : 버튼 스위치 3출력 D : LED1출력 B1 : LED2먼저 전감산기설계하기에 앞서 반감산기를 설계하였다.Gate Primitive Modeling으로 반감산기를 설계하였다.반감산기 ... 프로그래밍을 통해 프로그램을 최종 확인한다.응용과제 : 감산설계감산기 : 입력 데이터로 표시되는 수의 차를 출력 데이터로서 표현응용과제에서는 전감산기설계해야한다. ... 15와 같다.그림 SEQ 그림 \* ARABIC 15 반감산기 Simulation 결과Gate Primitive Modeling으로 전감산기설계하였다.전감산기 코드는 아래 그림16과
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • [Ayeun]컴퓨터구조 계산기 설계 보고서
    컴퓨터구조 보고서제목계산기 설계 보고서학과전자공학과학번성명제출일2018. 05. 25소요시간5시간#계산기 회로 완성 및 Timing simulation과 각 부분 동작 설명가감산기 ... #ALUFA의 B입력에 XOR에 달아 감산기 역할도 할 수 있게 만든다.ALU는 4비트 가감산기로서 전가산기를 4개 이용하여 연산을 하게 된다.최하위 비트(맨위)의 Carry in ... - S=1일 경우 Bi十1=B'i가 되어 전가산기에 Bi의 2의 보수가 인가되는 동시에 또한 전가산기의 캐리 입력 C1에 1이 인가되어 결국 A+(B의 2보수) 연산이 수행되는데
    리포트 | 8페이지 | 3,000원 | 등록일 2018.12.21
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습3 [결과레포트]
    프로그래밍을 통해 프로그램을 최종 확인한다.응용과제 : 감산설계감산기 : 입력 데이터로 표시되는 수의 차를 출력 데이터로서 표현응용과제에서는 전감산기설계해야한다. ... 하지만 반감산기를 구현하고 이를 이용하여 전감산기설계할 수 있기 때문에 반감산기부터 공부하겠다.아래는 반감산기회로와 그에 대한 설명이다.로직 회로와 진리표는 아래와 같다.그림 SEQ ... (A-B)이때 Bin은 내림수를 의미한다.차의 결과는 출력데이터 D로 표현되며 Bo는 내림수를 의미한다.응용과제 : 4BIT 감산설계4BIT 감산기 : 위에서 학습한 전감산기
    리포트 | 31페이지 | 1,000원 | 등록일 2017.10.19
  • 디지털실험 - 4비트 전감가산기 설계 결과레포트
    설계하는 방법을 익히고, 반가산기, 반감산기, 전가산기, 전감산기 각각의 기능 및 주요한 차이점을 비교 분석 해 봄으로써 동작 원리들을 자세히 알아보는 데 주 목적이 있었다.회로를 ... 두 가지가 동시에 1로 되는 경우는 없으므로 or 게이트를 통과시키게 된다.반감산기는 반가산기와 마찬가지로 두 개의 수에 대한 감산기 이고, 전감산기는 두개의 2진수의 뺄셈은 감수의 ... ◈ 4비트 전감가산기-설계결과-2조 2008065321권태영1.
    리포트 | 6페이지 | 1,000원 | 등록일 2012.03.09
  • 가산기 실험보고서
    01 0 11 1 01 1 10 01 01 00 11 00 10 11 1-전가산기(full adder)의 설계반가산기와 달리 전가산기에는 S와 C0이외에도 Ci도 포함되어 있다. ... -가산기가산기(Adder)와 감산기(Subtracter)는 2진수를 더하거나 빼는 디지털 회로이다. ... 실험 예비보고3.1 앞에서 설명한 방법을 쫒아서 [그림 2-5]의 반가산기를 8개의 2입력 NAND 게이트만으로 설계하라.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.27 | 수정일 2020.05.01
  • 실험 2. CMOS 회로의 전기적 특성 예비보고서
    전가산기의 Boolean equation은, 이다.감산감산기는 가산기처럼 동일한 접근을 이용해 이해할 수 있고, 설계할 수 있다. ... 당연히 A와B의 관계는 A에서 B를 빼는것이라 A-B라 이해하면된다.전감산기2비트이상의 2진수의 뺄셈을 하지못하는 반감산기에 대안으로 전감산기가 나왔는데, 가산기와 비슷한논리로 전감산기에는 ... 전감산기는 반감산기두개와 OR gate로 구성된 회로이다.
    리포트 | 11페이지 | 1,000원 | 등록일 2017.12.07
  • [mahobife]디지털회로실험 가산기와 감산기 회로 예비보고서입니다.
    가산기와 감산기 회로8. 가/감산기 회로예비보고서조교님제출일학 과학 년학 번성 명Ⅰ. 목적1. 가산기 회로 설계 및 실험2. 감산기 회로 설계 및 실험3. ... BCD 가산기 회로 설계 및 실험4. 가산회로와 감산회로의 조함5. 제어신호에 의한 가산기와 감산기 동작Ⅱ. 이론1. ... 반감산기D``=``barA B ``+``A barB``=``A oplusBb``=``barA cdot B나. 전감산기다.
    리포트 | 9페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.12.09
  • 디지털실험 - 설계 2 결과 보고서
    하지만 전감산기에 대한 부분을 제대로 구현하지 못해 아쉬움이 많이 남는 설계였다. ... 여기서 S는 값이 0일 때 전가산기, 값이 1일 때 전감산기를 구현하도록 설계하였다.설계 결과를 분석해보면, 먼저 출력되는 값들에 대한 논리값 0, 1에 대해서는 크게 오차없이 출력이 ... 특히 S값을 0으로 주고 전가산기를 표현할 때는 값이 제대로 출력이 되었다. 그래서 바로 전감산기를 구현하기 위해 S값에 1을 주었지만 전감산기가 제대로 작동하지 않았다.
    리포트 | 2페이지 | 1,500원 | 등록일 2017.04.02
  • 디지털시스템실험 4주차 결과리포트
    이용해 구현, input부분이 달라서 D를 wire로 선언하여 전가산기 //input부분에 할당endmodulemodule fulladder4bit( //4비트 전가산기의 구현A, ... B,C4,S);input [3:0]A,B;output C4;output [3:0]S;wire [3:0]C;assign C[0]=0;//전가산기 4개를 인스턴스화하여 4비트 전가산기를 ... ) 코드 및 설명module SubtractorAndAdder(S0,A,B,C4,S); // 가감산기의 모듈명과 사용될 input, output을 선언한다.input S0; // 가산기
    리포트 | 5페이지 | 1,500원 | 등록일 2018.01.02
  • 조합 논리 회로의 설계
    19 전 감산기의 블럭도와 진리표논리식은 다음과 같다.D = x'y'z + x'yz' + xy'z' + xyzB = x'y + x'z + yz5.7 4비트 2진 가산기와 감산기계산 ... = x'y전감산기의 블럭도와 진리표가 그림 5-19에 주어졌다.xyzCS0000000111010110111010001101001100011111(a) 블럭도 (b) 진리표그림 5- ... )에는 반가산기(half adder)와 전가산기(full adder)가 있다.
    리포트 | 20페이지 | 5,000원 | 등록일 2017.12.31
  • 시립대 전전설2 [4주차 예비] 레포트
    표현하는 경우, 가산기를 가감산기로 이용한다.전가산기전가산기는 반가산기 2개와 논리합 1개로 이루어진것으로 덧셈을 수행할때 하위자리에서 발생한 올림수까지 포함하여 계산하는 것이다. ... 비트 반가산기를 Behavioral Level modeling으로 설계를 하는 방법을 익히고 1비트 전가산기와 반가산기를 always와 if문을 사용하여 설계를 하는 방법을 익힌다. ... 문과 if 문 사용)으로 설계하고, 테스트벤치로 시뮬레이션 한 후 장비에서 동작 검증하시오.구하고자 하는 데이터1비트 전가산기를 다음의 두 가지 방법으로 설계하고, 테스트벤치 시뮬레이션
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 디지털실험 - 4비트 전감가산기 설계 예비레포트
    논리 연산은 선택단자 S1과 S0의 값에 의해 AND, OR, XOR, 보수 등의 기능을 수행한다.※ 전가산기, 전감산기(진리표, 논리식, 회로도)- 전가산기- 전감산기※ 4bit-adder ... ◈ 4비트 전감가산기-설계예비-2조 2008065321권태영1. 설계 이론ALU는 산술 연산회로와 논리 연산회로로 나누어진다. ... AnBn'Cn-1' + AnBnCn-1Cn = AnBn + AnCn-1 + BnCn-1AnBnCn-1SnCn0000000111010110110110010101001100011111전감산기
    리포트 | 4페이지 | 1,000원 | 등록일 2012.03.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:19 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대