• 통큰쿠폰이벤트-통합
  • 통합검색(321)
  • 리포트(308)
  • 시험자료(13)

"전감산기 설계" 검색결과 161-180 / 321건

  • 4자리 가감산기 시뮬레이션
    제 안 서 < 4자리 가감산기 / 8421가산기 설계 > 2 조1. 실험명4자리 가감산기 / 8421가산기 설계2. ... 설계순서① 74H87과 74LS83을 써서 진, 보, 영, 일기의 논리회로 설계② 4자리 가감산설계③ BCD 가산기 설계5. 관련이론5-1. ... FA에 입력되므로 가산기로 동작하며, M = 1이면 B의 1의 보수가 FA에 입력됨과 동시에값이 1이 되므로, 결과적으로 A와 B의 2의 보수가 FA에 입력되어 2의 보수에 의한 전감산기
    리포트 | 14페이지 | 2,000원 | 등록일 2010.05.29 | 수정일 2020.12.14
  • 기계공학실험 1 (10) 증폭기 실험 결과보고서
    증폭률이 상당히 높아 회로 설계사 무한대의 증폭비율을 갖는 이상적인 증폭기로서 다룰 수 있다.나) 반전 증폭기반전 증폭기(Inverting Amplifier) 회로는 대부분 연산 증폭기 ... 두 개의 입력 신호 전압의 차이의 비로 설정한 증폭비로 증폭하여 출력한다.실험 장치연산 증폭기고증폭도를 가지고, 부 궤환의 방법에 따라서 아날로그 신호의 가산, 감산이나 적분 등의 ... 변화하면서 출력전압의 크기를 측정하여 기록한다.측정된 출력전압을 입력전압으로 나누어 출력비를 구하고 각 주파수에서의 출력비를 구한다.구성된 증폭기가 전 주파수 영역에서 고른 출력비를
    리포트 | 7페이지 | 1,000원 | 등록일 2014.03.23 | 수정일 2017.11.27
  • BCD 가산기 (7483, 전가산기 두가지방법) 쿼터스
    설계 순서1) Quartus tool을 이용하여 전가산기를 설계2) 전가산기 Simulation 파형 동작확인2) 전가산기 회로를 이용하여 8421 가산기 설계3) BCD 가산기 Simulation ... 전가산기를 여러 개를 엮어서 BCD 가산기를 설계할 수 있는데 낮은 자리의 전가산기에서 출력 캐리를 받아서 다음 전가산기의 입력 캐리로 넣어주어야 가산이 된다.이렇게 4개의 전가산기만 ... 명 제Quartus tool을 이용하여 전가산기와 8421 가산기(BCD가산기)를 설계하라.2.
    리포트 | 14페이지 | 3,000원 | 등록일 2011.12.03 | 수정일 2021.04.11
  • VLSI 설계 과제_Full adder
    총 8개의 전가산기를 조합해서 8bit adder를 설계하였으며, ripple carry adder의 특성상 성능(delay 측면에서)이 나쁜 것을 알 수 있었다.FullAdder를 ... = 95312)그림 Layout1bit 가감산기를 만들 때에 metal2를 사용하지 않았던 관계로 adder들을 합성할 때에는 비교적 간단하게 배선을 할 수가 있었다. 1bit 가감산기 ... 아쉬운 점으로는 poly의 길이가 가장 짧았던, cin의 값만을 변화시켰었더라면 더 작은 delay를 측정할 수도 있었을 것 같다는 점이다.4. 8bit 가감산기 layout (592X161
    리포트 | 8페이지 | 1,500원 | 등록일 2011.05.25
  • 결과보고서 // 7.2의보수와 4비트 가감산기 8.플립플롭과 시프트레지스터
    결론 및 고찰이번 실험에서는 저번실험에서 구현했던 3bit 전가산기에서 더 나아가 감산까지 가능하도록 설계하는것이 목적이었다.우리조는 이번실험에서 너무 급하게 진행하느라 가산기를 1bit씩 ... 테스트해보지 않고 한꺼번에 구성했던탓에 어누부분에선가 잘못 연결된 부분이 있었는지 결국 실험을 통해 결과를 확인해 볼 수가 없었다.이론적으로 생각해보았을 때, 감산을 하는 원리는 ... 입력을 보수화시켜 가산의 형태로 계산함을 알 수 있었고, 연산하는 두수의 부호가 같고 최상위 2비트 캐리가 다를 때 발생하는 overflow에 대해서도 이해하였다.직접 브레드보드에 설계
    리포트 | 7페이지 | 1,000원 | 등록일 2010.11.17 | 수정일 2018.09.10
  • 기계공학실험 1 (10-2) 결과보고서
    증폭률이 상당히 높아 회로 설계사 무한대의 증폭비율을 갖는 이상적인 증폭기로서 다룰 수 있다.나) 반전 증폭기반전 증폭기(Inverting Amplifier) 회로는 대부분 연산 증폭기 ... 두 개의 입력 신호 전압의 차이의 비로 설정한 증폭비로 증폭하여 출력한다.실험 장치연산 증폭기고증폭도를 가지고, 부 궤환의 방법에 따라서 아날로그 신호의 가산, 감산이나 적분 등의 ... 변화하면서 출력전압의 크기를 측정하여 기록한다.측정된 출력전압을 입력전압으로 나누어 출력비를 구하고 각 주파수에서의 출력비를 구한다.구성된 증폭기가 전 주파수 영역에서 고른 출력비를
    리포트 | 7페이지 | 1,000원 | 등록일 2014.03.23 | 수정일 2017.11.27
  • 논리회로, 디지털공학, 회로 설계
    ①:기본 논리게이트 설계 및 구현⑶ 설계 ②:불 대수와 드로르간의 정리 설계 및 구현⑷ 설계 ③:Exclusive-OR 게이트 설계 및 구현⑸ 설계 ④:가산기와 감산설계 및 구현⑹ ... 설계 ⑤:인코더와 디코더 설계 및 구현⑺ 설계 ⑥:멀티플렉서와 디멀티플렉서⑻ 설계 ⑦:코드변환기⑼ 설계 ⑧:플립플롭⑽ 설계 ⑨:비동기식 카운터⑾ 설계 ⑩:동기식 카운터⑿ 결론⑴ 시뮬레이터 ... 및 전원 관련 소자, 아날로그 소자, 디지털 게이트, 조합회로, 스파이스 회로, VHDL 등을 사용할 수 있으며, 사용하기가 간단한데 비해 기능이 다양하다.특히 실제로 사용하기 전에
    리포트 | 61페이지 | 2,500원 | 등록일 2013.10.16
  • 실험3결과[1].가산기와감산
    실제 감산기를 설계할 때는 이처럼 별도의 회로를 만들어 사용하는 방법보다는 가산기 회로를 사용해서 감산기로 활용하는 방법을 주로 사용한다.예비보고서 문제 5에서 구한 전감산기를 구성하여 ... 전감산기 진리표- 문제5에 따르면 전감산기의 진리표에 Karnugh Method를 적용하여 Bool식을 만들어야 한다. ... 전감산기그림 SEQ 그림 \* ARABIC 6.
    리포트 | 8페이지 | 1,000원 | 등록일 2011.06.27
  • BCD to EX-3 가감산설계 보고서
    BCD TO EX-3 설계 보고서1. ... 이므로 캐리의 값을 이용해십의 자리 표현 하기가 수월합니다.회로도 설명:회로를 보시면 74LS83N 가산기로 입력되기 전에 XNOR게이트 입력됩니다.전 74LS83N가 가산을 수행할 ... 74LS83N가 감산을 수행할 경우때스위치가 Vcc로 연결되어져 XNOR 게이트가 인버터 역할을 하게 되어져 전 74LS83N가 감산할 결과를 다시 보수를 취해서 BCD코드로 바꾸게
    리포트 | 11페이지 | 1,000원 | 등록일 2011.05.25
  • 가산기, 감산
    설계된 가산기와 감산기를 실험하여 동작 원리를 설명할 수 있다.1. ... 가산기와 감산기 ( / )반가산기와 전가산기의 구조와 원리를 설명할 수 있다. 반감산기와 전감산기의 구조와 원리를 설명할 수 있다. ... 진리표11011111100100ABBiD11011111100100ABBiB0HSHS(a) 회로도(그림4-26) 전감산기와 구성도(c) 반감산기로 구성한 전감산기전감산기 (FS)ABDBo
    리포트 | 31페이지 | 2,500원 | 등록일 2010.11.20
  • 쿼터스를 이용한 전가산기와 8421 가산기(BCD가산기)를 설계 보고서
    설계 순서1) Quartus tool을 이용하여 전가산기를 설계2) 전가산기 Simulation 파형 동작확인2) 전가산기 회로를 이용하여 8421 가산기 설계3) BCD 가산기 Simulation ... 명 제- Quartus tool을 이용하여 전가산기와 8421 가산기를 설계하라.2. ... 목 적1) 전가산기의 가산 원리를 이해하고 논리게이트를 써서 설계한다.2) BCD 가산기의 가산 원리를 이해하고 논리게이트를 써서 설계한다.3.
    리포트 | 9페이지 | 1,000원 | 등록일 2011.06.21 | 수정일 2015.12.26
  • 가산기 회로 실험 보고서
    실험목적- 가산기와 감산기의 원리를 이해한다.- 반가산기와 전가산기의 구성 및 동작원리를 이해한다.3. ... 전자계산기가 발명된 당시에는 진공관에 의해서 구성되었고 현재는 집적 회로로 설계되어서 다양한 기능을 가지는 것이다. ... 결과 및 고찰이번 실험에서는 가산기와 감산기의 원리를 이용한 회로를 사용하여 결과를 도출하는 방식의 실험을 해보았다.
    리포트 | 7페이지 | 1,000원 | 등록일 2011.10.22
  • [논리회로실험]실험3예비보고서 가산기,감산
    전가산기를 이용하여 전감산기설계하고 위의 문제 (5)에서 구성한 회로와 비교하시오. ... 이용하여 전감산기를 구성하시오. ... 전가산기를 이용한 전감산기는 NOT gate 자리에 NOT gate가 있는가 없는가의 차이가 가장 큰 차이점이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2010.04.12
  • 실험3. 가산기와 감산기 결과보고서
    하지만 이번엔 전감산기를 통해 바로 이전 위치의 비트 계산에 빌려 준 받아 내림 값을 포함하여 두 비트들의 뺄셈을 수행하는 전감산기를 통해 뺄셈에 사용되는 빌림(B)에 대해서 잘 알 ... A와 B를 더하는 경우 그 결과는가 된다.위에서 설계한 회로는 A, B, C, D의 입력으로 2비트 수를 계산하는 2비트 병렬 2진 가산기이다. ... 두 자리 2진수와 자리 올림을 함께 덧셈하는 회로를 전가산기라고 하는데 실험2에서는 반가산기를 이용하여 전가산기를 구성하는 것이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2011.01.11
  • 이란 핵협상 타결 및 경제에 미치는 영향 (이란 핵협상,핵협상 타결,핵협상,이란,이란 제제)
    OPEC이 정한 일간 3000만배럴 생산량을 유지하려면 다른 회원국들이 이란 생산분만큼 감산을 해야 한다. ... 미국, 이란 동결자금 28억 달러 해제 발표- 2014.7.21 = 국제원자력기구(IAEA), 20% 농축 우라늄 절반 희석 이행 확인- 2014.8.27 = 이란 중수로 설계변경 ... = 이란·미·EU 외교대표 3자 협상(오스트리아 빈)- 2014.11.9∼10 = 이란·미·EU 외교대표 3자 협상(오만 무스카트)- 2014.11.11 = 이란·러시아 원자로 8기
    리포트 | 12페이지 | 3,000원 | 등록일 2015.04.04
  • [논리회로실험] 실험8. 전가산기와 전감산기 예비보고서
    전가산기와 전감산기1. 실험 목적전가산과 전감산의 산술연산을 실행하는 회로의 설계법에 대해 공부한다.2. ... 기본 이론전가산기와 전감산기는 3비트를 더하거나 뺄 수 있는 논리 블록이다. ... 회로 8-4의 전 가산기를 이용하여 4-bits 전 감산기를 구현하여 회로도와 파형을 제출하시오. (Hint) 감산의 2의 보수를 한 값과의 가산이다.6.
    리포트 | 10페이지 | 1,000원 | 등록일 2010.12.05
  • 논리설계 - 감산기를 MAX-PLUS II 로 실습을 한후 결과 보고서
    제목- 감산기- 감산기의 개념과 동작을 이해하고 설계한다.- 전가산기를 이용하여 4비트 병렬 가감산기 회로를 설계한다.목적- 감산기에 대해 이해하고 응용할 수 있다.관련 한습반가산기 ... , 전가산기, 이진병렬가산기는 덧셈을 수행하는 반면, 반감산기, 전감산기는 뺄셈을 수행하는 회로를 말한다. ... 하지만 전감산기 부분에서 진리표를 작성한 후 K-map을 만드는데 있어서 에러 사항이 있었습니다.전감산기 차 K-map 은 내가 원하는 답이 나왔는데 전감산기 빌림 K-map에서 계속
    리포트 | 7페이지 | 1,000원 | 등록일 2009.12.15
  • 전가산기와 전감산기
    한자리 2진수의 덧셈만 가능 하였는데, 이러한 단점을 보완하여 여러 자리의 2진수의 덧셈이 가능 하도록 설계한 덧셈 회로가 전가산기이다. 2진수의 덧셈에 사용할 수 있는 완전한 가산기를 ... 실험 목적1) 전가산과 전감산의 산술연산을 수행하는 전가산기와 전감산기의 회로 구성 방법을 학습한다.2. ... B< 그림 5 > 반감산기의 논리회로와 논리식입 력출 력ABBD0000011110011100< 그림 6 > 반감산기의 진리표전감산기도 전가산기와 마찬가지로 반감산기를 이용해서 구할
    리포트 | 5페이지 | 1,000원 | 등록일 2010.05.23
  • 디지털실험 3 - 2비트 전가산기 결과레포트
    높은 자리수의 두 비트의 덧셈에 추가되어 더해짐을 알 수 있었다.따라서 반가산기를 이용하여 전가산기를 설계하였다면, 이 회로는 반가산기의 성질을 띄고 있다고 봐도 될 것 같다.다음 ... 4번 실험은, 전감산기를 나타내는데 이것은 가산기의 응용된 형태라 볼 수 있다. ... 반감산기는 반가산기와 유사하지만 AND게이트의 입력 중 한 곳에 인버터가 붙어서 들어간다는 차이가 있으며 가산기와 감산기는 논리식이 유사한 부분이 있음을 알 수 있었다.
    리포트 | 11페이지 | 1,000원 | 등록일 2012.03.09
  • 컴퓨터기술, 기술임용, ★컴퓨터, 컴퓨터분야 평가항목별 정리, 전문계고교과서, 전공서적컴퓨터 분야.
    조합 논리 회로 설계 응용1. 가산기와 감산기. 가산기0) 반가산기1) 전가산기가. 감산기0) 반감산기1) 전감산기2. 비교기. 반비교기가. 전비교기나. n 비트 비교기3. ... 조합 논리 회로 설계. ... 조합 논리 회로의 설계 순서0) 시스템의 분석과 변수 정의조합논리 회로를 설계하기 위해 입력 변수의 수와 출력 변수의 수를 정하고 각각에 적당한 변수를 할당한다.1) 시스템의 입출력
    리포트 | 45페이지 | 9,000원 | 등록일 2011.02.26
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:20 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대