• 통큰쿠폰이벤트-통합
  • 통합검색(321)
  • 리포트(308)
  • 시험자료(13)

"전감산기 설계" 검색결과 121-140 / 321건

  • 전전컴설계실험2-6주차예비
    -감산전감산기는 입력변수 3자리의 뺄셈에서 차(D)와 빌려오는 수 (B)를 구하는 것이다. 즉 윗자리로부터 빌려온 값을 포함하여 3 Bit의 뺄셈을 할 수 있는 회로를 의미한다. ... 즉, 가수(added), 피가수, 올림수(carry)를 표시하는 세 가지 입력을 Sum, Carry 두 가지 출력으로서 출력하는 전가산기는 반가산기(half-adder)에서는 고려되지 ... 그 결과 4-bit 감산기도 1-bit 감산기의 Ripple Carry방식의 연결로서 볼 수 있다.
    리포트 | 13페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 디지털실험 3결과 2비트 전가산기
    이번 실험의 회로는 게이트 개수를 줄이기 위해 설계된 것으로 보이는데, 디지털 공학 시간에 배웠듯 전가산기를 연속적으로 늘어놔도 2비트 이상의 가산기가 만들어진다.고찰실험 1,2,3,4의 ... -전감산기를 병렬로 연결하여 2비트 이상 감산을 할 수도 있다.실험 2의 전가산기 회로와 다른 전가산기를 구성하라예비보고서 문제의 NAND로만 반가산기를 구성했던 것을 응용하여 NAND로만 ... 회로 구조를 보면 반감산기에서 전감산기로 확장한 것과 비슷하게 두 개의 반감산기와 OR게이트로 이루어진 것을 볼 수 있다.X=0, Y=0, Bin=1 의 결과 B=1, D=1X=1,
    리포트 | 8페이지 | 1,000원 | 등록일 2014.09.30
  • Combinational_Logic_Design_Ⅰ_Arithmetic_Logic and Comparator
    Reference (참고문헌)< 초록 (Abstract) >이 실험은 먼저 목적에 맞게 연산회로에 대해서 알아보고 1-bit 감산기 및 4-bit 가산기를 ISE 프로그램을 이용하여 ... 또 연산회로들이 구성되기 위해서는 여러 개의 gate 성질이 포함된다는 하는 논리 회로Truth table전가산기두 개의 입력 비트와 자리올림의 입력비트(Carry IN: Ci)를 ... 로직을 설계하기 위해 Source를 다음과 같이 작성한 후 프로젝트에 Source 추가한다.반가산기를 Synthesize – XST, Implement Design 순서대로 Compile한다.다음과
    리포트 | 32페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 디지털실험 5 결과 실험 5. Multiplexer 가산 감산
    SN74151을 2개 이용하여 전가산기 설계실험 4의 회로이다. 8입력 3제어입력 1출력을 가지는 먹스(74151) 2개로 전가산기를 구성했다. ... 그런데 한 소자에서 1이 3.7v라는 애매한 값이 나왔는데 소자가 병렬로 연결되면 이럴 수도 있다고 한다.고찰.이번 실험은 먹스를 이용해 전감산기와 전가산기를 구성하는 실험이었다. ... 의도한 대로 동작했다.A=1, B=1 Cin=0 의 결과 C=1 S=0A=1, B=1 Cin=1 의결과 C=1 S=1 전감산기가 필요한 경우를 보여주는 좋은 예, A+B=10이라 자리올림으로
    리포트 | 5페이지 | 1,000원 | 등록일 2014.09.30
  • 아주대 논리회로실험 가산기, 감산기 예비
    -반가산기, 전가산기, 반감산기, 전감산기2)Logic gate를 이용하여 가산과 감산을 할 수 있는 회로를 설계해본다.3)가산기와 감산기의 동작을 확인한다.2. ... 반감산기와 전감산기가 있다.①반감산기 : 2개의 입력을 받아서 2개의 출력을 나타낸다.2개의 비트들을 빼서 그 차이 값을 찾는 조합회로 이다. 2개의 2진 입력과 2개의 2진 출력이 ... 가산기 & 감산기1. 실험 목적1)가산기와 감산기의 구조와 원리를 이해한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.11.29
  • [아주대] 논리회로실험 3장 결과(가산기 & 감산기)
    전감산기는 실험2의 전가산기와 유사n ... 또, 가산기에서 반가산기와 전가산가의 차이, 마찬가지로 감산기에서 반감산기와 전감산기의 차이에 대해 알게 되었다.첫 번째 실험은 반가산기에 관한 실험이었는데, 결과에 부합해서 빠르게 ... 예상한 논리연산에 맞게 결과 값을 얻을 수 있었다.마지막 실험은 전감산기에 관한 실험이었다.
    리포트 | 6페이지 | 2,000원 | 등록일 2013.09.15
  • 디지털회로설계이론 산술논리연산
    따라서 실제 회로에서는 주로 감산기를 별도로 설계하지 않고 가산기를 감산기로 사용한다.4bit 병렬 2진 가산기 블록도4진 병렬 가산기 및 가감산기10진수 5에서 7을 감산할 경우의 ... borrow) B0를 출력하는 조합회로이다.감산전감산기 : 아래로 빌려준 자리빌림(Bi)과 함께 X-Y-Bi를 계산하여 출력 D(차이)와 윗자리로부터의 빌려올 자리빌림 B0를 출력하는 ... 산술논리연산가산기반가산기 : 2진수 덧셈에서 두 개의 비트 A와 B를 더한 합 S와 자리올림(carry) C0를 출력하는 조합회로이다.가산기전가산기 : 두 개의 비트 A, B와 밑자리로부터의
    리포트 | 13페이지 | 1,000원 | 등록일 2013.10.27
  • 디지털실험 3예비 2비트 전가산기
    이용하여 전가산기를 설계하라위 회로는 XOR과 등가이다. ... B는 Y가 X보다 클 때 빌려오는 값이고 D는 X에서 Y를 뺀 값이다.4.전감산기 회로를 구성하고 진리표를 작성하라.실험4의 회로도이다.XYBinBD0000000111010110111010001101001100011111시뮬레이션 ... 디지털 실험 예비보고서실험 3. 2비트 전가산기실험 목적1. 반가산기와 전가산기의 원리를 이해한다.2.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.09.30
  • [컴퓨터공학기초설계및실험1 예비레포트] 비동기 계수회로
    클럭펄스가 들어오기 전에는 모든 플립플롭들을 0으로 clear(CLR)시켜둔다. ... 컴퓨터 공학 기초 설계 및 실험1예비보고서실험제목:비동기 계수회로 (예비)예비보고서제목 및 목적제목비동기 계수회로(Asynchronous Counter)목적비동기 계수회로의 원리를 ... 0으로 바꾸는 동안에는 모든 플립플롭의 j와 k에 0이 걸리므로 카운터는 더 이상 클럭펄스를 세지 않고 출력의 상태를 그대로 유지한다.비동기 10진 계수회로10진 계수회로는 계수기
    리포트 | 3페이지 | 1,000원 | 등록일 2015.03.16
  • 실험3 예비보고서
    map를 통해 부울함수와을 구할 수 있고 복잡하지만 이를 가지고 회로를 구성해 본다.(6) 반감산기를 이용하여 전감산기를 구성하시오.(6) 전가산기를 이용하여 전감산기설계하고 ... 위의 문제 (4)에서 구성한 회로와 비교하시오.부울함수를 통해 전가산기와 전감산기의 SUM과 DIFFERENT 부분은 일치하고, 전가산기의 CARRY부분에 BORROW 효과가 나타나도록 ... 예비보고서(1) XOR gate(IC 7486)와 AND gate(7408)을 이용하여 반가산기를 구성하여 보아라.(2) 반가산기를 이용하여 전가산기를 구성하라.(3) 이론의 반감산기의
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.01
  • 논리회로실험) 가산기 감산기 결과보고서
    실험 고찰* Quartus II에서 감산기를 설계하여 Modelsim으로 파형을 확인한다.- 가산기를 사용한 8bit에 부수를 사용하여 감산기 형태로 변형을 한다. ... 결 과 보 고 서10 주차실험 9 : 가산기 & 감산기1. ... 예를 들면 1+1을 감산으로 하면 1 + (-1) 로 변형하여 감산을 할 수 있다.* 가산기를 이용한 감산기 구현x-y`=``x+(-y)`=`x+( bar{y} +1)을 이용하면 n
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.06
  • Lab#04 Combinational Logic Design 1
    Adder의 Sum과 Carry처럼 Difference와 Borrow의 output을 가지며전감산기 진리표ABBinDBout00000001110101101101100101010011000111116 ... A, B를 더해서 합인 Sum와 올림수 Carr더해서 합인 Sum와 올림수 Cout을 구하는 논리회로이다.전가산기 진리표ABCinSCout0*************001101100101010111001111114 ... Purpose of this labVerilog HDL을 통하여 Combinational Logic Circuit을 설계한다.나.
    리포트 | 24페이지 | 1,500원 | 등록일 2016.09.11
  • 대한전자공학회 기초전기전자공학실험 LAB32 OP AMP 회로실험 예비보고서
    이때 오실로스코프는 신호 발생기로 외부 트리거를 시킨다.4) 출력에서 파형의 왜곡이 생기기 전까지 신호 발생기의 출력을 점차적으로 증가시킨다. ... 이때 오실로스코프는 신호 발생기로 외부 트리거를 시킨다.4) 출력에서 파형의 왜곡이 생기기 전까지 신호 발생기의 출력을 점차적으로 증가시킨다. ... 입력을 하나의 독립적인 회로로 간주, 이들의 합에 비례한 출력을 내는 회로이다.가산기를 이용하여 DAC(Digital-to-Analog Converter)를 설계한다.V _{o}
    리포트 | 4페이지 | 1,000원 | 등록일 2016.06.26
  • 가산기,감산기 회로 실험(예비)
    입력에 따른 출력신호의 형태를 타이밍도에 나타낸다.- 실험 설계A. 반가산기의 실험B. 전가산기의 실험C. 반감산기의 실험D. 전감산기의 실험E. 전가감산기의 실험 ... B입력신호출력신호A(피감수)B(감수)D(차)b(자리빌림수)0000011110101100- 전감산기(FS : full subtracter)두 개의 반감산기로 수현할 수 있는 전감산기는 ... 실험 목적- 반가산기와 전가산기의 논리와 회로를 이해한다.- 가산기와 감산기의 통합 회로를 할 수 있는 능력을 배양한다.?
    리포트 | 6페이지 | 2,000원 | 등록일 2012.10.11
  • OP-AMP 결과보고서
    Common Mode Signal은 감산기에 의해 상쇄되었으며, Difference Mode Signal은 2배의 이득으로 증폭되었다. ... U2의 OP Amp의 Out단자에 걸리는 Voltage는 input 그대로 이다.따라서, , , 가 되고이므로 를 이끌어낼 수 있다.즉, 두 input 단자에 똑같은 이 들어왔을 때 감산기에 ... 실험간의 function generator를 조절하여 인가하기 전에는 off상태로 둔다.2. 납땜을 해야 하므로 실험간에 전열기구를 조심하여야 한다나.
    리포트 | 10페이지 | 1,000원 | 등록일 2015.03.09
  • VHDL을 이용한 FULL ADDER(전가산기)설계
    ◎Full adder1) 소스코드① full adderlibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity full_adder ..
    리포트 | 6페이지 | 1,000원 | 등록일 2010.06.18
  • Multiplexer 가산-감산
    전감산기를 구성해 보는 실험이었다.우선 이번 실험의 이론적인 전가산기와 전감산기에 대하여는 2장의 실험에 대해 어느정도 배웠기때문에 시스템을 이해는 측면에서는 쉽게 접근할수 있는 ... 마지막으로 4장 실험은 151소자를 사용한 전가산기를 설계하는것인데 2장과 비슷한 소자라서 연결까지는 힘들게라도 끝냈는데 Cn-1값에 따른 값이라 함수발생기의 입력을 통하여 오실로스코프로 ... =1입력시A=1 B=0 Ci=0A=1 B=0 Ci=1입력시A=0 B=1 Ci=0A=0 B=1 Ci=1입력시A=1 B=1 Ci=0A=1 B=1 Ci=1입력시결과 해석실험2번의 경우 전가산기의
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • 디지털실험 15예비 up/down counter
    지연시간 차이에 따른 오차로 보인다.실험 준비물7400, 7404, 7472, 7476, 74169, 전원공급기, 오실로스코프, 함수발생기.실험 방법1.회로를 구성하고 dcba=1111로 ... 실험 2번이 이미 컨트롤 입력에 따라 가산/감산 카운터를 스위칭 할 수 있는 회로이므로 다시 설계는 하지 않는다. ... 가/감산 카운터의 동작 원리를 이해하고, 설계해 보아라.실험 13과 이번 실험에서 보았듯이 가산 카운트를 위해서는 Q`를 다음 플리플랍에 클락으로 입력하여 Q가 감소할 때 다음값이
    리포트 | 8페이지 | 1,000원 | 등록일 2014.09.30
  • 인간과 예술의 이해(울산대 정리)
    것으로 불특정 다수에 사용되며 다양한 관점에서 이해되고 있다.디자인의 어원은 이탈리아어인 Disegnare에서 파생되었으며 ‘창조하다’라는 의미를 가지고 있으며 사전적인 의미로는 ‘설계하다 ... (cf, 컬러티비, 모니터화면, 디지털 카메라 등)색의 삼원색(감산혼합)Cyan + Magenta + Yello = Black색의 삼원색은 더할수록 어두워진다! ... (cf, 컬러프린터, 컬러사진, 컬러회화 등)색상환사람들이 색의 실제를 보기 전에 이해하기 쉽도록 색을 구분하고 조직화 하는 체계를 의미하며, 다음과 같이 읽을 수 있다.Q. 5R
    시험자료 | 8페이지 | 1,500원 | 등록일 2019.02.13
  • 디지털 시스템 실험
    산술논리연산학습목표· 반가산기, 전가산기의 개념을 알아본다.· 반감산기, 전감산기의 개념을 알아본다.· BCD가산기와 크기 비교기에 대해 알아본다.실 험 내 용실험 6-1 가산기 회로 ... BCD감산기를 설계하시오.3. [1]과[2]의 회로를 참고하여 BCD 가감산을 수행할 수 있게 다음 블록도와 같이 회로를 구성한 후 실험하여라.실험 6-4. n비트 크기 비교기와 산술논리연산회로 ... 1개의 OR 게이트를 사용하여 전감산기를 구현할 수 있는가?
    리포트 | 10페이지 | 1,000원 | 등록일 2012.07.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:21 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대