• 통큰쿠폰이벤트-통합
  • 통합검색(708)
  • 리포트(683)
  • 시험자료(16)
  • 자기소개서(5)
  • 방송통신대(4)

"4bit-adder" 검색결과 61-80 / 708건

  • 서강대학교 디지털논리회로실험 레포트 8주차
    그림 14는 우리에게 매우 익숙한 4-bit 이진수의 곱셈 과정을 보여준다. 4-bit 두 수의 곱의 결과는 8-bit로 저장된다. ... 아래쪽 4-bit(LP(3:0))을 저장하게 된다.- HP: 하나의 4-bit register로 구현되며 처음에는 0으로 초기화되고 연산이 진행되면서 곱셈 결과의 위쪽 4-bit을 ... 그림 15는 이의 도식화이며 그림 15에서 각 block은 구현에 사용될 4-bit소자를 의미하며 필요에 또는 4-bit register 또는 4-bit shift register를
    리포트 | 20페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 논리회로설계실험 3주차 Adder 설계
    다음으로 구현한 1-bit full adder를 이용하여 4-bit adder를 설계한다. ... 이렇게 구현한 1-bit full adder를 이용하여 4-bit adder 또한 구현할 수 있었다. ... 아래에는 4bit의 입력인 A_4BIT와 B_BIT의 그래프와 그에 따른 4-bit adder의 출력 sum과 Cout의 그래프이다.
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
  • Semiconductor Device and Design - 9-10__
    ://technobyte.org/parallel-adder-subtractor/ [4] https://techweb.rohm.co.kr/knowledge/si/s-si/03-s-si ... F unction of the parallel adder circuit. 4. L ayout of the parallel adder circuit.1. ... Layout of the parallel adder circuit.4. Layout of the parallel adder circuit.
    리포트 | 12페이지 | 2,000원 | 등록일 2023.06.22
  • [논리회로설계실험] 1bit full adder & 4bit full adder (logic gate 구현)(성균관대)
    특히 full adder를 병렬로 연결할 시, 4-bit 뿐만 아니라 여러 개의 Full adder를 연결함으로써, half adder와 달리 모든 비트수에 대해 사용 가능하다는 것을 ... 역시 full adder하나만으로 진행하는 단일 연산이라 Boolean expression으로 표현하기에는 dataflow 형식이 직관적이고 한 눈에 보기 쉬웠다. 4-bit full ... 값을 내보내는 기능을 한다)합은 Sum으로 내보내는 단일 연산을 하였다.4bit에서는 1bit full adder를 모듈화하여 병렬로 4개 연결한 후 새로운 A[n], B[n]의
    리포트 | 7페이지 | 1,500원 | 등록일 2024.06.07
  • [인하대 전자기초디지털논리설계]VHDL을 이용한 4bit Full Adder 설계
    설계한 4bit full adder 코드의 결과 창을 보면 통상 사람이 덧셈을 수행하는 방식과 같이 최하위 비트의 입력으로부터 출력 캐리가 구해지고, 하위 비트의 캐리가 다음 상위 ... 실습 결과1bit full adder를 먼저 설계한 다음 1bit full adder 4개를 연결하여 4bit full adder를 설계하였다.1) 1bit Full Adder의 원리 ... 같이 XOR 연산을 signal로 정의하여 연산을 수행했다.3) 4bit Full Adder의 VHDL 소스 코드(주석문 포함)그림 4: 4bit Full Adder 소스 코드: 4
    리포트 | 4페이지 | 1,500원 | 등록일 2022.03.14
  • 디지털시스템설계실습_HW_WEEK9
    또, 4비트와 32비트의 citical path delay를 보면 4비트일 때는 6.672ns였는데, 32비트에서 7.416ns로 된 것을 보면 bit가 커질수록 critical path ... • Discussion이번 실습은 N-bit CLA Adder를 만들고 RTL schemic와 Syntheis schemic를 비교하고 각각의 n-bit cla adder의 ... citical path delay를 계산해보는 시간이었다.파라미터의 숫자를 바꿔줌으로써 n-bit의 cla adder가 되는 것을 통해 간단하게 큰 비트adder가 생성되는 것을
    리포트 | 8페이지 | 2,000원 | 등록일 2023.06.11
  • 시립대 전전설2 A+ 4주차 예비레포트
    _4bit.vtb_full_adder_4bit.vSimulationTcl Console3) 실습 3full_adder2_4bit.vtb_full_adder2_4bit.vSimulation4 ... ) 실습 4four_bit_adder.vtb_four_bit_adder.vSimulationTcl console5) 응용과제four_bit_comparator.vtb_four_bit_comparator.vSimulationTcl ... full-adder logic 예시Always 블록의 부분을 여러가지 로직을 표현할 수 있다.2.
    리포트 | 25페이지 | 2,000원 | 등록일 2024.09.08
  • 서강대학교 21년도 디지털논리회로실험 5주차 결과레포트 (A+자료) - Half-Adder, Full-Adder, 2's complement
    XOR은 1-bit의 comparator라고 해석할 수 있다.위의 74x85 소자는 4-bit 이진수 둘을 비교할 수 있는 comparator이다. ... 하지만 4-bit보다 더 큰 수를 비교하기 위해서는 comparator들을 반복적으로 연결해야 할 것이다. ... adder로, 두 개의 1-bit 수를 더해서 2-bit의 출력을 발생시키는데, 그 중 lower-order bit가 sum(S), high-order bit이 carry out(
    리포트 | 29페이지 | 2,000원 | 등록일 2022.09.18
  • Term_Project_보고서_1조
    일의자리 연산 시 7404(Inverter)를 거쳐서 나온 일의자리 값들을 4bit BCD adder와 같이 74283(FULL ADDER)으로 넣고 overflow를 잡기 위하여 ... 4bit BCD adder와 같이 74283(FULL ADDER)으로 넣고 overflow를 잡기 위하여 7408(and gate)와 7432(or gate)를 사용하여 2번째 74283 ... 이를 충족시키기 위해 DIP 스위치와 7segment를 이용하여 입력과 출력을 모두 10진수로 동일하게 하였고 입력에는 십의자리에서는 4bit, 일의자리에는 10bit DIP 스위치를
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    (4) [실습 4] half_adder를 이용한 1-bit Full adder 설계LogicPin 설계한 1-bit Full Adder의 동작을 확인하는 모습 (위에서부터 차례로 입력 ... Discussion- 실험(5)에서 4-bit full adder를 schematic하는 과정에서 실험(4)에서 schematic한 1-bit full adder를 symbol로 이용하였는데 ... 또한 Schematic 방식으로 설계한 다양한 logic들(AND Gate, half adder, 1-bit full adder, 4-bit full adder)을 최종적으로 FPGA
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    bit full adder & subtracter4비트 가/감산기(4 bit full adder & subtracter)는 연산을 위한 4개의 Full adder와가산/감산 모드를 ... 여기서 변수 M의 상태에 따라M:0 -> s=x+yM:1 -> s=x-y 가 된다.이를 적용해 4비트 가/감산기를 설계하면 다음 그림과 같다.설계한 4 bit 가/감산기를 Xilinx에 ... 나타낸다.4bit일 경우 2s complement의 표현범위는 -8부터 +7까지이며0은 +부호를, 1은 -부호를 나타낸다.2) Full adder전가산기(Full adder)는 기본적으로
    리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • 시립대 전전설2 Velilog 결과리포트 3주차
    - 리플캐리애더의 진리표와 똑같이 결과 값이 나옴을 알 수 있었다.4) 4bit Full Adder ? ... 두 종류의 full adder가 합쳐진 4-bit fulladderbit4_ripple_carry_adder (a, b, cin, s, cout); 으로 순서대로 작동하며, s0 ... 2 1bit Full Adder (Behavioral Modeling) + 1bit Full Adder (Gate Primitive Modeling)4) 4bit Full Adder
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 기초전자회로및실험2 -ALUs(Arithmetic logic units)를 이용한 n-bit 계산기 설계
    이를 4bit adder(74283) 2 개를 이용하여 구현한 8bit BCD to Binary 를 통해 binary 로 변환시켜 2 진수 표현 입력 스위치에는 풀업 저항을 사용PSPICE ... units) 를 이용한 n-bit 계산기 설계설계 이론 2 1. ... 감가산기 - 계산기의 집적도를 고려 가산기와 감산기를 동시에 설계 -AND, OR, XOR 와 같은 기본 소자들로 FULL ADDER 를 구현하고 , 이를 합쳐서 가산기를 구현했다
    리포트 | 15페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 시립대 전전설2 Velilog 예비리포트 3주차
    Full Adder –Gate Primitive ModelingCODE시뮬레이션 결과1bit Full Adder –Behavioral ModelingCODE- 시뮬레이션 결과3) 4bit ... 결과4) 4bit Full Adder –4 1bit Full Adder (Behavioral Modeling)code시뮬레이션 결과참고 문헌전전설 교안 ... Adder (Behavioral Modeling) + 1bit Full Adder (Gate Primitive Modeling)4bit Full Adder –4 1bit Full
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(결과) / 2021년도(대면) / A+
    방법으로 각각 설계하시오.a. 1비트 반가산기의 module instantiation (half adder와 같은 프로젝트 내에서 full_adder 파일을 생성하여 설계함. ... (Bus SW5~8) / 합은 S(LED2~5) / 올림수는 C(LED1)A[3:0]B[3:0]S[3:0]C11100011000110111110000111(4) [응용과제] four-bit ... Adder의 동작을 확인하는 모습 (좌측에서부터 차례로 입력 a[3:0]b[3:0]의 값이 1110 0011, 0111 1100)- 실험 결과: 입력은 A(Bus SW1~4), B
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 디지털전자회로 2021 퀴즈5 해답
    필요한 half/full carry save adder와 몇 bit의 carry propagation adder가 필요한 지구하시오. [4]2. ... (b)로 단순화 될 수 있다. 6-bit x 6-bit unsigned Radix-4 Booth encoding multiplier에 대하여 partial product를 (a)의 ... Unsigned Radix-4 booth encoding multiplier에 대한 문제를 푸시오. [8]1) Partial product의 sign extension이 (a)에서
    시험자료 | 7페이지 | 2,500원 | 등록일 2022.11.07
  • Vivado를 이용한 half adder, full adder, 4 bit adder의 구현 예비레포트
    :Full Adder:4bit Adder:4. ... Vivado를 이용한 half adder, full adder, 4 bit adder의 구현 예비레포트1. ... 실험 제목1) Vivado를 이용한 half adder, full adder, 4 bit adder의 구현2.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 서강대학교 디지털논리회로실험 5주차 결과보고서
    또한 FPGA에 내장 되어있는 소자인 COMPM4를 이용해 그 기능을 확인한다. Half-adder를 구현해보고 ISE를 이용한 symbol library의 생성해본다. ... 배경이론 및 실험방법비교회로(Comparator)는 두 binary 수의 비교를 통해 판단하는 회로이다.Adder(가산회로)는 두 개의 1-bit를 더해 2-bit의 합을 출력한다. ... 2-bit으로 구성된 출력 중 lower-order bit를 sum(S)이라 하고 high-order bit를 carry out(CO)이라고 한다.
    리포트 | 13페이지 | 1,000원 | 등록일 2021.10.02
  • 정실, 정보통신기초설계실습2 9주차 결과보고서 인하대
    진리표와 결과가 완전히 일치한다.4-bit full adder4비트 full adder의 구조는 간단하게 이해하자면 full adder를 4개사용하여 각 자리수마다 가산을 진행하는 ... 위에서부터 X, Y, B0, D, B순서이고 결과는 진리표와 동일하다.4-bit Full subtractor4비트 full adder와 마찬가지로 4개의 full subtractor를 ... ARABIC 5 : simulation4비트 adder의 모듈은 full adder를 4번사용한것이므로 모듈파일은 full adder의 모듈과 동일하다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 전전설2 실험2 예비보고서
    full adder 의 구조에 대하여 조사하시오.1-bit full adder를 4개 연결한 구조이다. ... [응용과제]: 위에서 설계한 1-bit Full Adder를 symbol 로 이용하여 4-bit Ripple Carry Full Adder를 schematic 설계하시오.교안에 나와있는대로 ... Digit : 4-digit FND는 모양상으로는 1-digit FND,를 4개 합한 것과 같다.RS-232 : PC와 음향커플러, 모뎀 등에 접속하는 직렬(컴퓨터와 한 번에 한 비트
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:43 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대