• 통큰쿠폰이벤트-통합
  • 통합검색(5,416)
  • 리포트(5,173)
  • 시험자료(124)
  • 자기소개서(42)
  • 방송통신대(32)
  • 서식(20)
  • 논문(15)
  • ppt테마(9)
  • 노하우(1)

"5TB" 검색결과 61-80 / 5,416건

  • 간호관리학 케이스스터디(casestudy) - 전문직간 협력 및 조정을 중심으로 (진단3개, 과정없음)
    감사합니다.self med)글루리아드정 500/5mg 1TB QD[9]자디앙정25mg 1TB QD[9]수바로오디정5mg 1TB QD[9]란투스 40IU [21]회신(내분비내과)24.03.212 ... 환원효소 억제제5. ... 상품명 : 수바로 오디 정 5mg성분 및 함량 : rosuvastatin calcium (micronized) 5.2㎎ (5㎎ as rosuvastatin) (아침)효능효과 : HMG-CoA
    리포트 | 11페이지 | 2,500원 | 등록일 2024.05.26
  • 한국전기설비규정 차단기 선정 방법
    은 5.8배로 결정된다. ... (LS, Susol TS 100)의 동작특성곡선을 나타낸다.보호장치의 최소동작시간(tb)은 전동기 기동시간인 4초에 여유율을 고려하여 6초로선정한 경우, 보호장치의 규약동작배율(δ) ... 규약동작배율(δ)는 MCCB 제조사가 제시한 동작 특성곡선에서 최소동작시간(tb)과 특성곡선의 교점에 해당하는 동작전류가 차단기의 규약동작배율(δ)이 된다.아래 [그림1]은 과전류차단기
    리포트 | 8페이지 | 2,000원 | 등록일 2022.10.11
  • [A+ 식품분석 및 실험 레포트] pH Determination (pH 측정, pH test paper, pH meter)
    : 4.03.36·2.0~4.019오렌지 주스5BCG: 3.6MR: 5.43.76·3.820딸기즙2CR: 1.6TB: 2.43.46·3.1~3.921양파즙5BCG: 5.4MR: 5.65.21 ... ~8.813식초+식소다 16ml9TB: 8.8AZY: 9.68.9850·14식초+식소다 60ml9CR: 8.2TB: 8.48.1550·15coffee5BCG: 4.6MR: 6.44.70 ... ~6.010베이킹파우더8CR: 7.8TB: 8.26.62506.5~7.511식초4BPB: 3.6BCG: 3.63.11502.4~3.412소다10TB: 8.8AZY: 9.68.74508.4
    리포트 | 9페이지 | 2,000원 | 등록일 2021.01.05
  • OS CASE STUDY septic arthrisis 관절염
    T 0.5TB *01 아침식후 30분 PO for 98 Days (강심제)본원2019-10-10Esomekhan 20mg/T 1TB *01 아침식후 30분 PO for 98 Days ... 혈관확장제)본원2019-10-10Torem 2.5mg/T 1TB *01 아침식후 30분 PO for 98 Days(이뇨제, 고혈압치료제)본원2019-10-10Concor 2.5mg/ ... 30분 PO for 98 Days(동맥경화용제, 고지혈증 치료제)본원2019-10-10Isotril SR 60mg/T 0.5TB *01 아침식후 30분 PO for 98 Days(
    리포트 | 18페이지 | 2,000원 | 등록일 2020.04.20
  • 국내외 감염병 관리체계비교 및 만성질환 관리의 특성, 지역사회간호학 레포트
    국외(미국)2015년 12월 미국정부는 국내외적 결핵위기를 극복하고 WHO의 결핵퇴치 목표 및 STOP TB을 지지를 위해 5개년의 국가결핵관리 실행계획을 발표하였는데,① MDR-TB ... 외 감염병 관리체계 비교(1) 결핵의 정의(2) 결핵의 원인(3) 결핵의 분류(4) 활동성 결핵과 잠복결핵의 비교(5) 증상과 징후(6) 진단1. ... 이러한 결핵 사망률은 1983년 19.7명에서2000년 8.9명으로 감소하였고, 2015년에는 5.1명 수준이다(그림 1).
    리포트 | 10페이지 | 1,500원 | 등록일 2023.01.29
  • [A+ 척추관 협착증 CASE STUDY] 문헌고찰, 간호과정, 간호진단 2개, 결론 및 제언
    /T(먼디파마)1 TB*2 POTargin CR 10/5mg/T(먼디파마)1 TB*2 POTargin CR 10/5mg/T(먼디파마)1 TB*2 PO2) 투약 기록지상품명화학명대상자 ... (환자)투여용량, 용법주요작용부작용주의할 점Claza CR 200mg/T아세클로페낙1TB *01 PO해열.진통.소염제류마티스관절염, 강직척추염, 골관절염(퇴행관절염) 및 견갑상완골의 ... ) ■중위험군(2점) □고위험군(3.5점 이상) 환자에 해당합니다.
    리포트 | 32페이지 | 2,500원 | 등록일 2023.11.28
  • 디지털 시스템 설계 및 실습 디멀티플렉서 설계 verilog
    monitor("%b", y3);endinitial begini = 1;S = 0; #50;S = 1; #50;S = 2; #50;S = 3; #50$finish;endendmodule5. ... `timescale 1ns/10psmodule tb_DMux;reg i;reg [1:0] S;wire y0;wire y1;wire y2;wire y3;DMux tb(.i(i), .S ... (S), .y0(y0), .y1(y1), .y2(y2), .y3(y3));initialbegin$dumpfile("test_DMux_out.vcd");$dumpvars(-1,tb);
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 만성폐쇄성폐질환 환자 케이스 스터디
    의식수준 저하와 관련된 낙상위험성5. 침습적 처치와 관련된 감염위험성간호 사정- 주관적 자료 : “숨을 못 쉬겠어서 잠을 못자요.”- 객관적 자료? 과거력 : old Tb? ... 선정된 응급질환에 관련한 간단한 사례 작성김OO F/55세 환자로 과거 COPD, HTN, old Tb 있던 분으로 15일 전부터 지속적인 dyspnea 호소하다가 증상 심해져 응급실로 ... + 5%DW 250ml mix하여 처방된 속도로 정맥 주입 (0.5mg/min)한다.주입 후에는 보호자에게 그 정보를 제공하고 효과를 모니터링 한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2024.04.26
  • IM(내과) study case DCMP (Dilated cardiomyopathy) -확장성 심근병증
    +O3,4,5,6,7,8d.S5+O5e.S10,11 +O11,12f.S6+O9g.S9+O10h.S7,8,10+ O12a. ... 5.2mEq/l5.03.2이뇨제 복용으로 인한 K 소실Cl96~107mEq/l110102정상CRP~0.50.600.64CRP-C반응성 단백은 염증이나 조직손상(심근경색,종양)에 반응하여 ... TB *02아침저녁식후 30분 PO for 70days본원2018.04.12Lasix 40mg/T (한독) 0.50 TB*01 아침식후 30분 PO for 70days본원2018.04.22Diabex
    리포트 | 19페이지 | 5,000원 | 등록일 2019.10.27 | 수정일 2022.06.20
  • 엔클렉스 내부분비(유월드, 사운더스, 심플널싱 정리) 사진포함.
    adrenal cortex• Cause- Autoimmune: Body kills adrenals or pituitary- Diseases: Cancer, infections (TB ... periods -> Amenorrea- Pigmentation -> Bronze Pigment (Acanthosis nigricans)- High Potassium -> OVER 5.0
    시험자료 | 17페이지 | 8,000원 | 등록일 2024.04.24
  • 포항공대 화학과 대학원 연구계획서
    p-도핑된 그래핀 전극을 통한 벤젠디톨 분자 전자 접합의 계면 공학 전하 수송 특성 연구, 고에너지 밀도 리튬 금속 배터리용 고분자 분리막 개발 연구, 수용성 Interleukin-5 ... 연구, NS1에 의해 유도된 G-quadruplex 앱타머의 구조적 변화를 이용한 뎅기열 진단을 위한 새로운 원샷 형광 앱타센서 개발 연구, 그래핀 옥사이드 앱타머 비콘 기반 순환 TB7.7의
    자기소개서 | 1페이지 | 3,800원 | 등록일 2022.09.27
  • [충남대] 분자생물생화학실험 - 대장균 IPTG 처리, 크로마토그래피, 재조합 단백질 생산
    autoclave 이후 KPO4 salts 40ml를 넣는다. 1차 접종한 배양액 4mL를 제작한 TB배지 400mL에 넣는다. ... 15ml conical tube에 Bradford Assay Solution 5md만 관찰되는 것을 볼 수 있다.3. ... 이후 pipetting한 뒤에 37℃ shaking incubator에서 overnight 배양한다.(2) Second culture (Main culture)TB배지를 제작한다((
    리포트 | 15페이지 | 5,000원 | 등록일 2024.04.17
  • [간호관리학실습] 간호관리학 지침서 [타 부서와 협상 또는 협력 사례 / 공감능력 향상 또는 공감을 적용한 간호관리 활동 적용사례] [레포트, 실습 지침서, 실습 보고서, 사례 보고서, 간호관리학 협력, 간호관리학 공감]
    유선 상 각 병동의 차지간호사들의 협력 사례를 볼 수 있음- 사례 5? ... 상황: 병동에 TB 의심 환자가 출현하였음. 바로 1인실 transfer를 하려고 했지만 음압격리 시설을 갖춘 1인실이 없었음. ... 하지만, 병동 내 양압의 1인실(이식병동)이 비워 있는 상태로 00 병동의 1인실 환자에게 협조를 구하여 양압 1인실로 옮기고 TB환자를 00 병동의 음압병실에 전실할 수 있었음.?
    리포트 | 2페이지 | 1,700원 | 등록일 2022.10.19 | 수정일 2022.12.08
  • 일물실2 전류저울 보고서
    =81.57 x10 ^{-3} TB =81.06 x10 ^{-3} T6. ... 자기장의 계산 결과가 거의 동일한실험 2-2와 2-5의 결과를 그래프로 나타내어 보면 다음과 같다.2-5의 기울기가 2-2의 기울기보다 약 2.93배정도 큰 것을 확인할 수 있다. ... = 82.75 x10 ^{-3} TB = 83.12 x10 ^{-3} TL = 0.04mL = 0.06mL = 0.08m전류(A)질량(g)힘(N) x10 ^{-3}전류(A)질량(g
    리포트 | 5페이지 | 1,000원 | 등록일 2022.09.04 | 수정일 2022.09.08
  • 방송대 컴퓨터과학과 HTML5 기말평가(만점)
    나타낼 때 사용됨value:실제 측정 데이터min, max: 최소값(0.0)과 최대값(1.0)low, high: 허용 범위의 최소, 최대값optimum:최적의 기대치디스크 사용률(1TB ... 교과목명:HTML5?학번:?성명:?연락처:?평가유형:과제물형(과제물형, 주관식형, 혼합형(과제물+주관식) 중 해당 유형 표기)? ... [교재 2장]에서 다룬 HTML 요소 중에서 HTML5로 업그레이드되면서 새롭게 추가된 요소를 모두 찾아서 다음 조건이 만족되도록 정리하시오.(25점)2.
    방송통신대 | 10페이지 | 5,500원 | 등록일 2021.01.02
  • case study-아동간호학실습(신생아 황달-간호진단/과정2개)
    -빌리루빈 수치 검사를 통해 빌리루빈 수치를 확인함.12.03 -TB:16.312.04 -TB:15.512.05 -TB:14.0간호평가(간호 목표 및수행에 대한 평가달성: 빌리루빈 ... -37.2-60125-36.6-55132-36.5-42138-37.0-54128-36.7-60136-36.8-44130-37.1-55132-36.7-50134-36.8-44128-36.5 ... :16.312.04 -TB:15.512.05 -TB:14.0고빌리루빈혈증을 검사한다.4) 간호 진단간호 문제 목록번호간호 문제발생일종료일1신생아 황달2018.12.012018.12.062영양장애
    리포트 | 12페이지 | 2,000원 | 등록일 2020.03.03 | 수정일 2020.03.23
  • [컴퓨터과학과] 2022년 1학기 운영체제 중간시험과제물 공통(SJF 스케줄링과 HRN 스케줄링)
    GDDR5 2GB Graphic Memory (DVI-I, DVI-D, HDMI, DP)이다.- 중략 - ... 저장장치는 128GB Solid-state Drive(mSATA), 1TB(7200rpm S-ATA3)이다.그래픽은 NVIDIA GeForce GTX 750 Ti Graphics with
    방송통신대 | 10페이지 | 13,400원 | 등록일 2022.03.21
  • (아동실습 A+ 보장드립니다) 아동간호학 CASE STUDY _ 신생아 황달 _ 간호진단 3개 및 자세한 간호중재 5개 이상
    TB 수치 증가는 고빌리루빈혈증을 유발하기 때문이다.3. 수유량이 부족하며 황달이 발생한거라면, 탈수가 쉽게 진행된다. ... 수포 터진 자국들이 있는 부위에 처방된비판텐 연고 5% 50g을 투약한다.5. ... 14:37질병과정5월 30일 출생 당일, 전반적인 피부건조와 겨드랑이 발적이 관찰되었습니다.6월 2일 첫 Total Bilirubin 검사 결과 10.6mg/dL에서 6월 3일 TB
    리포트 | 19페이지 | 2,500원 | 등록일 2024.06.06 | 수정일 2024.06.07
  • (양식, 예시)Sound System Planning, 음향 Set-up 요청서
    4Meyer Sound MICA*R2M98TB2446Galileo 408 Input BB98H/CSAX145B98H/CSAX2467ST-LGalileo 408 Input COutput ... 2Meyer Sound MICA*L2*OLineCD2-R404OOM98TP141Output 3Meyer Sound MICA*R4M98TP2425Galileo 408 Input AM98TB143Output ... InSertOUT Multi NoSpeakerINOUTTC451BOGO1M98CONGA-L2OMNI1FOOTUPA1P*2OOM98CONGA-R3ShortSM57TIM42DRUMUSM100POO5Comp6Comp3BASSUSM100POO7Comp8Comp4GT1MJF212OO9Comp5GT2MJF212OO10Comp11Comp6KEY1야마12OO12Comp13Comp7KEY2야마12OO14Comp15Comp8TP1MJF212
    서식 | 1페이지 | 1,000원 | 등록일 2024.05.31
  • 폐결핵 문헌고찰
    양성인 경우, active/inactive Tb. ... 감별 위해서 흉부 X-ray와 객담검사 시행3) 흉부 X선 검사:① inactive Tb. : 석회화된 병변이나 치유된 병소 확인② active Tb. : 폐침윤, 소결절, 공동, ... , 2015년 5.2명으로 감소 추세를 보이나 모든 결핵의 주요지표는 OECD 회원국 중 1위를 기록하고 있다.4.
    리포트 | 6페이지 | 2,000원 | 등록일 2024.07.07
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:09 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대