• 통큰쿠폰이벤트-통합
  • 통합검색(5,416)
  • 리포트(5,173)
  • 시험자료(124)
  • 자기소개서(42)
  • 방송통신대(32)
  • 서식(20)
  • 논문(15)
  • ppt테마(9)
  • 노하우(1)

"5TB" 검색결과 121-140 / 5,416건

  • A+ COPD, 폐렴 간호과정 CASESTUDY
    위험 위험사항개인위생 금기5Dihydrocodeine tartrate 60mg0.5TB/Bidpo암 및 기타 만성질환 환자에서의 중등도 및 심한 통증의 완화중증 호흡억제 환자, 마비성장폐색 ... 환자, 금성 알코올 중독 환자, 천식발작 지속상태 환자에게는 금기6Hebron F 25mg2TB/Bidpo만성 염증성 기관지 질환의 증상 개선, 기침을 동반한 호흡기의 급성 염증 ... 내원하여 내원 1일전 azithromycin / PO로 약 복용 하던 중 내원 전 날 저녁에 40℃ 이상의 발열이 있어 본원 ER을 통해 내원함.과거력/입원 및 수술경험 : 30년전 TB
    리포트 | 24페이지 | 4,000원 | 등록일 2022.08.11 | 수정일 2022.09.06
  • A+ 성인간호케이스 COPD, 폐렴(간호진단 및 계획,과정 3개& 약물, 검사 총정리)
    tartrate 60mg0.5TB/Bidpo암 및 기타 만성질환 환자에서의 중등도 및 심한 통증의 완화중증 호흡억제 환자, 마비성장폐색 환자, 금성 알코올 중독 환자, 천식발작 지속상태 ... (결핵)기타 질병이나 다른 건강문제 : 5년전 COPD현재의 질병에 대한 자기관리 : 기침과 입마름 현상으로 인해 소량의 물을 자주 마심. ... 환자에게는 금기6Hebron F 25mg2TB/Bidpo만성 염증성 기관지 질환의 증상 개선, 기침을 동반한 호흡기의 급성 염증 완화이 약의 과민반응 환자, 과당불내성 환자, 임부
    리포트 | 24페이지 | 4,000원 | 등록일 2024.03.15
  • A+) 성인간호학실습 Case study 간신증후군에 의한 알코올성 간경화증 간호진단 3개
    (8AC)농가진, 모낭염, 조기증, 감염성 습진, 세균성 피부 감염증,발열, 가려움, 홍반, 자통, 건조, 발진, 두드러기, 부종, 쇼크 등락티케어제마지스로션 0.25% 60g/TB3TB ... 간호 과정5. ... , 호흡기부종, 위장관출혈 등시낙텐주 0.25mg/ml1A x 1IM부신피질 기능 부전증발적, 동통, 두드러기, 소양증, 열성 홍조, 실신, 호흡곤란, 쇼크 등베아로반연고 10g3TB
    리포트 | 23페이지 | 3,000원 | 등록일 2024.05.04
  • [성인간호학실습/A+] 극찬받은 심근경색 CASE STUDY (간호진단, 과정 5개)
    Herben 90mg 1TB PO BID부작용 : 서맥, 기립성 저혈압, 구갈, 기관지 경련?Acertil 4mg 0.5TB PO QD부작용 : 저혈압, 기립성 저혈압? ... heparin 25000IU/5ml + N/S 500ml/BG (10cc/hr), IV (7/13, 7/14)? aspirin 100mg 1TB PO QD (7/13~15)? ... 단지 위험성이라 생각해 다소 낮은 5위에 선정했다.3.
    리포트 | 17페이지 | 1,500원 | 등록일 2021.08.05 | 수정일 2021.09.01
  • SBAR로 인수인계하기 예시 (의사에게 보고하기)
    라보에서 CBC랑 Chemical은 normal하신 상태이고, 첫 번째 객담에서 AFB(3+), TB-PCR positive 나왔습니다.현재 v/s BP 140/80 HR90 RR ... 24 Fever37.5 Spo2 95%로 측정되셨으며 환자분이 “금일 아침 자고일어나서 기침이 더 심해지면서 가래에 피가 섞여나와요.” ... (call)선생님 1내과 000 간호사입니다. 506호 안00님 72세 남성으로 일주일 전부터 cough, sputum 있으셔서 외래 통해 입원하셨습니다. 5년전 pul tbc로 약물치료
    리포트 | 1페이지 | 1,000원 | 등록일 2020.10.18 | 수정일 2023.03.19
  • 폐결핵 문헌고찰 질병보고서 Pulmonary Tuberculosis Disease Study Report
    진단검사1) 객담 검사2) X-선 검사3) 결핵균 핵산증폭 검사(TB-PCR)………………………………………………………………………………………………………64) 약제 감수성 검사5. ... 촬영결과 감염)4결핵, 임상적으로 비활동성임상적으로 비활동성 결핵으로 진단(과거 결핵의 병력이 있음, 세균검사결과 음성, 양성의 투베르쿨린 피부반응과 흉부 방사성 촬영결과 비활동성)5결핵 ... tuberculosis Polymerase chain reaction;TB-PCR)를 시행한다.
    리포트 | 8페이지 | 2,500원 | 등록일 2021.03.05
  • 국제간호협의회(International council of Nurses, ICN)
    TB/MDR-TB 프로젝트ICN TB/MDR-TB프로젝트는 TB의 예방, 관리 및 치료에 세계 간호능력을 구축하는 것을 목표로 합니다.■ 국제적 관계? ... 혁신적 성장- ICN 목표를 달성하기 위해 비즈니스 및 수익 창출 기회를 식별, 보안 및 다양화 합니다.■ 5가지 핵심가지? ... 국제간호협의회 문헌고찰1) 소개 및 역사2) 설립 목적 및 비젼, 전략3) 주요업무 및 기능4) 조직도, 주요업무 사례, 국제적 관계 등5) 국민건강사업 사례6) 인터넷 사이트 소개Ⅲ
    리포트 | 8페이지 | 2,500원 | 등록일 2020.07.17
  • [미생물학] 감염 미생물의 특성 및 동향_결핵균
    결핵의 최근 동향5Ⅲ 결론5* 참고 문헌 및 자료Ⅰ 서론결핵(tuberculosis, TB)은 인류와 함께 오랜 역사를 갖는 질병이다. ... 이는 결핵(tuberculosis, TB)의 원인균으로, 사람이 결핵균의 유일한 천연병원소이다. ... 결핵의 진단31) 객담도말검사2) 투베르쿨린 검사3) 흉부 X-선4) 혈액 검사5) 배양6) PCR(핵산증폭법)3.
    리포트 | 8페이지 | 2,000원 | 등록일 2022.06.22
  • 건국대학교 열유체실험 내용정리입니다.
    구간을 나눠서대류q=h2*A*(Tinfinity-Ta)전도 q=k*A*dT/dx=kA(Ta-Tb)/Lq=h1*A*(Tb-Tc)라 할 수 있습니다.Tinfinity에서 Tc의 열전달을 ... 알고 싶을 때=> Tinfinity-Tc=Tinfinity-Ta+Ta-Tb+Tb-Tc 전체를 q로 나누면(T인피니티-Tc)/q=1/h2A+L/kA+1/h1*A가 됩니다 여기서 열저항의 ... -41639), 0.5(평균-622355, 표준편차-70172), 1(평균-240282,표준편차-11271)3(평균-24240,표준편차-3794), 5(평균-7137, 표준편차-883
    시험자료 | 37페이지 | 3,900원 | 등록일 2021.03.09
  • [일물실] 일반 물리학 실험 '전류 저울' 실험 결과 보고서
    나란하도록(고리의 아래 변을 이루는 도선이 자기장과 수직하도록) 자석장치의 위치를 조정한다.전류를 0A로 설정하고 저울의 ‘용기’ 버튼을 눌러 저울 눈금이 0이 되게 한다.전류를 0.5A씩 ... 실험이었다.실험 중 연속적인 측정이 때론 측정 환경을 변화시켜 실험에 영향을 줄 수 있을 수 있다고 이번실험으로 알게 되었다.참고 문헌 및 출처부산대학교 물리학교재편찬위원회 , 일반물리학실험 5판 ... =0.087TB=0.091T측정값인 질량를 식 )에 대입하여 힘(N)을 구했고, 그 힘과 전류와 전류고리의 길이을 자기장을 구하는 식 에 대입하여 자기장을 구했다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.11.05
  • [경희대/기초회로실험/A+보고서] 4강 옴의법칙, 키르히호프법칙
    시뮬레이션 결과를 보면, 초록색(I _{TA}), 노란색(I _{TB})=10.1mA, 빨간색(I _{C})=6.5mA, 파란색(I _{D})=3.4mA가 측정되었고, 이는 실험에서 ... `+0.027318`+`0.058776`=`5.425V아래의 사진은 PSPICE를 통해 실험 결과를 재확인해본 결과이다. ... 따라서 전체 저항은R _{1} +R _{T} +R _{4}가 되며 옴의 법칙을 이용해 전체 전류를 구할 수 있다.I _{T} `=`10.128 mA이론적이라면I _{TA}=I _{TB
    리포트 | 8페이지 | 2,500원 | 등록일 2021.09.12
  • PD 실습 의학용어
    1 ABGA 동맥혈가스검사2 AFB 그람양성 막대균3 ARDS 성인 호흡곤란 증후군4 ARF 급성호흡부전5 BAE 기관지동맥색전증6 BAL 기관지폐포세척7 COPD 만성폐쇄성폐질환8 ... 계량흡입기12 Lx 후두경검사13 NSCCL 비소세포 폐암14 NPPV 비침습적 양압환기15 PFT 폐기능검사16 PCP 폐흡충 폐렴17 Pn 폐렴18 SCLC 소세포 폐암19 Tb
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.07 | 수정일 2020.12.12
  • 디지털 시스템 설계 및 실습 7-세그먼트 FND 디코더 설계 verilog
    clk = ~clk;initialbeginclk = 1'b0;bcd = 0;#50 bcd = 1;#50 bcd = 2;#50 bcd = 3;#50 bcd = 4;#50 bcd = 5; ... #50 bcd = 50;#50 bcd = 11;#50 bcd = 12;#50 bcd = 13;#50 bcd = 14;#50 bcd = 15;#40 $finish;endendmodule5. ... ;wire fndd;wire fnde;wire fndf;wire fndg;fnd tb(.bcd(bcd), .clk(clk), .fnd_data(fnd_data), .fndb(fndb
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • (내과)기본간호학 결핵성복막염 case study
    문헌고찰결핵성 복막염(TB peritonitis)1. ... 대부분 감염된 숙주가 면역력이 강하면 병소는 자가치료되고 다른 문제를 거의 남기지 않지만 감염된 대상자의 5~15%에서는 결핵이 발병한다. ... PPM 시행 후 지속적인 fever있어 시행한 검사에서 Ascites → r/o SBP, r/o TB peritonitis 있어 IMR cunsult 본 후 Tazolactam cover
    리포트 | 11페이지 | 2,000원 | 등록일 2022.02.10
  • 성인간호학실습 A+ ILD, 폐렴(Pneumonia) 케이스스터디, 간호진단 6개, 수행4개
    청진: both lung에서 crackle sound 확인됨, TB, pneumonia로 폐말단 부위에서 pleural friction rub 확인됨.#간호진단2. ... 청진 - both lung에서 crackle sound 확인됨, TB, pneumonia로 폐 말단 부위에서 pleural friction rub 확인됨.#간호진단4. ... hemoptysis 있어 본원 ER로 내원2022.11.29hemoptysis(fresh red, 가래 섞임, 양 많이 늘어남) redevelope되어 본원 ER로 내원2022.12.01TB
    리포트 | 26페이지 | 3,000원 | 등록일 2023.09.15 | 수정일 2023.09.18
  • 부산대학교 일반물리학실험2 전류저울 보고서
    고리의 아랫변을 이루는 도선이 자기장과 수직하도록) 자석 장치의 위치를 조정한다.④ 전류를 0A로 설정하고 저울의 ‘용기’ 버튼을 눌러 저울 눈금이 0이 되게 한다.⑤ 전류를 0.5A씩 ... 도선의 길이와 자기력① 길이가 다른 전류 고리를 여러 개 선택하여 실험 1의 과정을 되풀이한다.② 전류가 1A, 2A, 3A일 때 도선의 길이와 힘의 그래프를 그리고 자기장을 구한다.5. ... 0000000.50.060.000590.50.100.000981.00.120.001181.00.200.001961.50.180.001761.50.300.002942.00.230.002252.00.410.004022.50.300.002942.50.520.005103.00.350.003433.00.630.00617B = 0.09691 TB
    리포트 | 7페이지 | 1,000원 | 등록일 2022.06.21
  • 폐결핵 케이스 / Tb 사례연구 보고서
    Tb 진단하에 anti TB drug medication 후 완치 판정 Hx.- 내원 2개월 전부터 coughing, yellow계속적 ? ... 결과검사종류검사일검사결과Chest X-ray, Chest CT23/07/29 10:51BWLF(Both whole lung field) infiltration(+)Chest CT, TB ... 3-5) 피부피 부 색: 정상 ? 창백 홍조_____ 청색증______ 황달______ 기타_______탄력정도: 정상 감소 ? 기타________온 도: 정상 ?
    리포트 | 19페이지 | 3,000원 | 등록일 2024.04.19 | 수정일 2024.04.23
  • 심부전 성인케이스 (Atrial fibrillation, CHF) A+자료입니다. 간호진단 3개, 간호과정 3개
    지참약(+)- stilnox 10mg/T 1TB (자기 전 PO)- mucopect 30mg/T 1TB (아침, 저녁 식후 30분)- CnU 250mg/C 1cp (아침, 저녁 식후 ... MV: mild thickening, calcification5. ... 또한 Digoxin 0.25mg/T 0.25TB qd로 매일 투여하여 7/07 HR 115회/min check되었고 현재까지 A.fib조절 위해 약물 투여 중에 있습니다.
    리포트 | 24페이지 | 2,000원 | 등록일 2022.03.14
  • [제주대 족보]제주대 정보통신입문 기말기출문제
    정보의 양을 표현하는 단위인Bit, Byte에 대해 설명하고, 2TB의USB메모리에 저장할 수 있는 한글(16bit)의 글자 수를 계산하시오.6. ... 정보원으로부터 얻은 임의의 색 화소 정보가(0,0,0)와(255,255,255)일 때, 각각에 대한 색을 판정하여2진수 표현법으로 표현하고, 그 이유를 설명하시오.5.
    시험자료 | 1페이지 | 2,000원 | 등록일 2021.05.31
  • A+받은 성인간호실습 간호과정 천식(Asthma) 케이스 스터디, 간호진단 3개, 간호과정 3개
    작용청색증, 호흡곤란, 흉부불쾌감, 혈압저하, 발진, 발적, 가려움, 구역, 구토, 변비, 어지럼, 불안 등Levotuss급, 만성 기관지염 치료60mg 1TB PO tid진해제( ... qd진해거담제입마름, 위장장애, 신경과민, 어지러움, 피로, 두통, 기침, 떨림, 시야몽롱, 심계항진Tylenol발열 및 두통, 신경통, 근육통, 월경통, 염좌통 치료650mg 2TB ... 44%)3.45(40%)CO 확산능력(DLCO, diffusion capacity of carbo 등Twolion알레르기성 비염, 두드러기, 피부질환에 따른 가려움증 치료제10mg 1TB
    리포트 | 11페이지 | 3,300원 | 등록일 2020.09.28 | 수정일 2020.10.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:11 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대