• 통큰쿠폰이벤트-통합
  • 통합검색(5,416)
  • 리포트(5,173)
  • 시험자료(124)
  • 자기소개서(42)
  • 방송통신대(32)
  • 서식(20)
  • 논문(15)
  • ppt테마(9)
  • 노하우(1)

"5TB" 검색결과 141-160 / 5,416건

  • 화학2-반감기) '방사성 동위원소 반감기와 약물의 반감기의 차이점' 탐구 조사 보고서
    docId=663550&ref=y" 물리적 반감기를 Tp, 생물학적 반감기를 Tb로 하면 Teff=(TpTb)/(Tp+Tb)이다.5.
    리포트 | 2페이지 | 2,000원 | 등록일 2021.06.15
  • 2022년도 응급전문간호사 객관식 기출(1번 문항부터 139번 까지 완벽 복원)
    -아미오다론-아데노신-프로카이나마이드5. Tb를 진단받고 3주동안 결핵약을 복용중인 환자가 열과 함께 숨이 차고 기침과 가래 양이 많아 응급실로 내원하였다.
    시험자료 | 18페이지 | 20,000원 | 등록일 2024.04.13 | 수정일 2024.05.02
  • 이동통신공학 보고서 10.Walsh code PN code
    다항식이라 함- m개의 레지스터에서 m-sequence를 만드는 생성다항식은 일반적인 방법론은 알려져 있지 않음- m이 클수록 원시다항식은 많아짐- m=3, 4의 경우는2개, m=5, ... 0으로 머물러 있게 되므로 초기 상태를 0으로 하면 안 됨따라서 LFSR의 최대 주기는2^m-1이 됨주어진 길이 m에 대해 최대 주기가 만들어지도록 하는 경우는 유일하지 않음[그림2-5] ... 가 되도록 함이 대역폭은 펄스폭이 Tc < Tb 인 PN 신호를 통과시키는데 필요한 대역폭에 비해 훨씬 좁음따라서 각 필터에서는 c(t )c(t+τ - Tc/2) 와 c(t )c(
    리포트 | 20페이지 | 2,000원 | 등록일 2021.09.23
  • 컴퓨터 구조와 운영체제 과제
    BLUE WD10EZEXHDD (PC용) / 8.9cm(3.5인치) / 1TB / SATA3(6Gb/s) / 7,200RPM / 메모리 64MB / 최대 150MB/s / 기록방식 ... 3D낸드 / 삼성 MGX 컨트롤러 / 최대 540MB/s / 최대 520MB/s / 1,500,000시간 / TRIM 지원 / GC 기능HDDWestern Digital WD 1TB ... 1,024 바이트1 메가바이트(Mega Byte, MB) = 1,024 킬로바이트1 기가바이트(Giga Byte, GB) = 1,024 메가바이트1 테라바이트(Tera Byte, TB
    리포트 | 5페이지 | 3,000원 | 등록일 2021.09.24
  • 성인실습 관상동맥질환 지식부족 케이스 CASE STUDY 간호진단3개 간호과정1개
    동공크기(우/좌) 5mm / 5mm , 모양(우/좌) 원형 / 원형D. ... )PO1일 1회 아심식후 1TB 복용제2형 당뇨병 환자의 혈당조절을 향상시키기 위해 식사요법 및 운동요법의 보조제비인두염, 상기도감염, 두통 등크녹산주 40mg(Enoxaparin ... 복용고지혈증치료비인두염, 두통, 소화불량, 고혈압 등바스티난엠알서방정 35mg(Trimetazidine Hydrochloride 35mg)PO1일 2회 아침, 저녁 식후 1TB 복용1차
    리포트 | 16페이지 | 1,000원 | 등록일 2021.09.06
  • 급성통증, 영양 결핍, 지식 부족 간호과정
    현재 복용하는 약: Uroaplha XL 10mg, Amlopin 5mg?과거 병력: pul TB(17), HTN(20YA), BPH(5YA)·수술력: ? 무 □ 유? ... 입원 동기: 상환 pul TB(17) 기왕력 있으며 HTN(20YA), BPH(5YA), po med하는 분으로 건강검진 내 시경 검사 상 gastric ca 소견 있어 수술위해 입원함 ... body)(2) 특수검사흉부 (2018/10/10)병실 : 901 성명 : 김용* 검사일자 : 2018.10.10[FINDING]same as conclusionconclusion : TB
    리포트 | 18페이지 | 2,500원 | 등록일 2024.03.21
  • (성적인증있음) 성인간호학 실습 소세포폐암환자 PT(problem tree) 입니다! 할인자료
    대상자에게 처방된 FLIvas 75 mg/tab 1 TB 을 투약 시간에 맞춰 투여하고 효과와 부작용을 관찰한다 . 2. ... . * 과거력 * 진단명 - DM+, HTN-, Pulmonary TB-, Hepatitis-, COPD - SCLC * 지침약 * 수술력 : 2020 년 1 월 본원 EY 에서 백내장 ... 빨리 약 좀 줘 ” - NRS 사정 결과 : Whole body 쑤시는 양상 20 분 지속 5 점 . - 통증으로 인해 얼굴을 찡그림 .
    리포트 | 4페이지 | 1,000원 (5%↓) 950원 | 등록일 2021.06.14 | 수정일 2021.06.16
  • 고체 열전도도 측정
    △TA = , △TB = , △TC = △T = △TA + △TB + △TC (7) 열손실 열손실이란 앞에서 살펴본 전도, 대류, 복사 또는 이들의 조합으로부터 내부에서 외부로의 열의 ... (단위 : kcal/m2h℃ or W/m2K) 즉, 열관류율이 낮을수록 단열이 좋다고 할 수 있다. (5) 열전도도(Thermal conductivity) 물체 내부에서 온도가 높은
    리포트 | 6페이지 | 1,000원 | 등록일 2021.06.27
  • [PPT]데이터 저장장치
    변동 없음 2TB 이상 용량 HDD - 가격 하락 가격변동 및 전망 0 5 HDD SSD USB 메모리 SD 카드 자료 : 다나와리서치 저장장치0 5 가격변동 및 전망 SSD USB ... 용량 쉬운 사용방법 최근 무선연결을 통해 연결할 수 있는 외장하드도 등장하기 시작 외장하드 USB 와 플래시 메모리를 결합한 것 USB 포트에 꽂아서 사용 편의성 , 휴대성 우수 1TB ... 컴퓨팅 기술 인터넷이 연결되어 있지 않으면 사용 불가 클라우드 NAND 플래시 기반 SD 또는 Micro SD 카드로 통일 속도에 따라 2/4/6/10 클래스로 나뉨 2GB 부터 2TB
    리포트 | 39페이지 | 1,500원 | 등록일 2021.10.01 | 수정일 2021.12.25
  • A+자료 결핵성 늑막염 (TB pleurisy) case study(간호진단 4개)(간호과정 4개)
    TB pleurisy2) 간호경과 기록일시간호경과 기록2023.03.04? CS OP 위해 PD→CS로 refer됨? ... A+자료결핵성 늑막염(TB pleurisy)case study목차Ⅰ 서론A. 연구의 필요성Ⅱ 본론A. 문헌고찰- 늑막염1. 늑막의 해부 및 생리2. 늑막염의 병태생리3. ... Considered as improving loculated fluid collection in left hemithorax: Probably empyema (including TB
    리포트 | 24페이지 | 4,000원 | 등록일 2023.08.26
  • [성인간호학] 다재내성결핵 케이스스터디 간호진단 2개
    old TB(30yr), COPD(10yr).· 가족병력 : X.· 입원경력 : O. ... 환자 개인적으로도 불행한 일이 아닐 수 없지만 국가적으로도 사회 비용의 소실이 큰 중증 질환에 속한다.현재 2009년에 440,000명의 사람들이 MDR-TB에 걸렸고 그들 중 3분의 ... 향상되기는 하였으나 누적 통계를 하였을 때 단일 질환으로는 현재까지도 사망 환자 수 1위인 병이다.다제 내성 결핵(Multidrug-Resistant Tuberculosis, MDR TB
    리포트 | 23페이지 | 3,500원 | 등록일 2019.10.06
  • AWS SAA 정리 자료 및 오답노트 입니다.
    기반 정책은 단일 파티션 내에서만 계정 간에 액세스 권한을 위임* S3- S3는 스토리지로 웹 서비스 인터페이스를 통해 사용 가능- S3는 Object base이며 파일당 최대 5TB까지
    시험자료 | 14페이지 | 10,000원 | 등록일 2021.04.20
  • [A+ 추천!] 성인간호학 응급실 ER 아스페르길루스 폐렴 케이스 스터디
    SpO2: 99% (3/5 12:25)LUL, Fungus ball -> Hemoptysisold TB Hx (2002년)LUL TB sequelaeHistory상기 질환으로 본원 ... 기침, 피 섞인 흰 가래, 근육통, 땀 동반.5시간에 1번 가래를 뱉으며 가래 피의 양은 한 번 뱉을 2cc정도라고 보호자가 말함.과거력HTN (-)DM(-)TB(+, 17년 전 LMC ... 나타났다.(5) 항균제 감수성 시험결과 3/5 12:46Gram Stainsputum grading= Gr 필요
    리포트 | 23페이지 | 2,000원 | 등록일 2019.11.07 | 수정일 2019.12.09
  • 내시경실 자료 장결핵, 크론병, 궤양성대장염 자료입니다.
    또한 HIV 감염 환자나 일차감염 결핵(primary TB), 파종 결핵(disseminated TB)에서의 면역력 결여(anergy)가 검사 판독을 어렵게 하는데 치료하지 않은 크론병 ... Interferon-γ 검사법: 환자의 혈액을 채취하여 결핵균의 특이 항원(EAST-6, CFP-10)으로 자극하여 T 림프구에서 분비되는 interferon-γ를 측정하거나(QuantiFERON-TB ... 장결핵의 분류1) 활동 장결핵다른 질환과 마찬가지로 결핵 역시 숙주의 면역 능력과 결핵균과의 상관관계가 질병의 발생에 매우 중요하며, 결핵균에 감염된 사람들의 5-10%에서 설사,
    리포트 | 22페이지 | 6,000원 | 등록일 2023.07.31
  • Uriscan 분석결과와 현미경적 관찰의 상관관계 임상사례 렉쳐 리포트 직무교육 발표자료
    :923-5 6. ... Brown SH, MacDougall ML and Wiegmann TB, microscopic hematuria Kans Med, 1986, 87(4):99-101,113 4. ... Messing EM, Young TB, Hunt VB, et al, Urinary tract cancers found by homescreening with hematuria dipsticks
    리포트 | 14페이지 | 2,000원 | 등록일 2021.07.31 | 수정일 2021.08.03
  • [Double Pipe Heat Exchanger Experiment] 결과레포트/성균관대학교
    [Lab 5] Double–Pipe Heat Exchanger Experiment1. TitleDouble–Pipe Heat Exchanger Experiment2. ... Therefore, in theory, it is more efficient to use the countercurrent flow for better heat exchange.5. ... rate8L/minFlow rate8L/minTh,inTh,outTc,inTc,outΔTAΔTB70℃*************0℃60531421463250℃514514203725(2
    리포트 | 6페이지 | 3,000원 | 등록일 2021.06.15 | 수정일 2022.04.26
  • 성인간호학실습 - A+/내과/IM/당뇨/만성신장질환/투석/늑막삼출/케이스스터디/성인간호학
    vaginal hysterectomy (LAVH) 시행현재 Premina 0.625mg 1 TB *01 PO4. ... 의식수준 및 지남력- Alert5. ... *02 POLasix 40mg 1 TB *02 PO- Cervix cancer20XX년 XX월 Cervix cancer 진단20XX년 XX월 laparoscopic-assisted
    리포트 | 54페이지 | 3,000원 | 등록일 2023.03.16 | 수정일 2023.03.22
  • 갤럭시 s20, 캐논 eos 90d 스펙조사
    SDRAMROM128 GB UFS 3.0 규격 내장 메모리사용할 수 있는메모리 (GB)103.6외장 메모리 지원micro SDXC (규격상 2 TB, 1 TB 공식 지원)프로세서퀄컴 ... 센서 (망원)삼성 아이소셀 패스트 S5K2LD(슈퍼 스피드 듀얼 픽셀 AF) & 소니 엑스모어 RS IMX555 (기본)삼성 ISOCELL S5KGW2 (PDAF)(초광각)이미지 ... °C)에서 약 1,860매, 저온 (0°C)에서 약 1,850매라이브 뷰 촬영: 상온 (+23°C)에서 약 510매, 저온 (0°C)에서 약 500매메모리용량SD : 8MB ~ 2TB
    리포트 | 14페이지 | 5,000원 | 등록일 2021.06.30 | 수정일 2022.09.27
  • 성인간호학_간호과정_간호진단_비효과적 호흡양상, 심혈관 기능 장애의 위험, 배뇨장애
    Acute respiratory failure, Bronchiectasis, Pneumonia- 현재 O2 2L/min를 nasal prong으로 적용 중임- 과거력: DCMP, Tb ... 숨이 너무 가빠서 힘들어.”O:객관적 자료- 진단명: Acute respiratory failure, Bronchiectasis, Pneumonia- 과거력: HTN, DCMP, Tb ... HCO3---51.5?53.5?50.2?47.1?46.5?TCO2--54.2?55.9?52.7?49.3?48.8?BE-B--22.9?25.9?22.2?19.9?19.1?
    리포트 | 7페이지 | 3,000원 | 등록일 2023.11.20
  • 가감산기 8bit addsub8 설계 베릴로그
    A[5],B5,C4,C5,result[5]);fa U6(A[6],B6,C5,C6,result[6]);fa U7(A[7],B7,C6,C7,result[7]);xor (overflow, ... //-----------------------------------------------------------------------------//// Title : addsub8_tb ... // By : tb_verilog.pl ver. ver 1.2s////--------------------------------------------------------------
    리포트 | 18페이지 | 2,500원 | 등록일 2021.04.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:15 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대