• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,821)
  • 리포트(2,588)
  • 시험자료(121)
  • 방송통신대(44)
  • 논문(37)
  • 자기소개서(18)
  • 서식(9)
  • ppt테마(2)
  • 전문자료(1)
  • 이력서(1)

"Encoder" 검색결과 61-80 / 2,821건

  • 논리회로실험. 실험 5. Decoder & Encoder
    이를 수정합니다.실험 4. 8X3 Priority Encoder1. ... 또한 이번실험에서 Priority Encoder 실험만 살펴보더라도 8비트의 코드를 3비트 코드로 그 용량을 크게 줄일 수 있는 효과를 얻을 수 있다.즉 기존 부호체계 코드를 다른
    리포트 | 11페이지 | 2,000원 | 등록일 2015.12.21 | 수정일 2016.06.02
  • Matlab Cyclic Code(encoder, decoder)
    Function Cyclic Encoderㄱ. Codes뒷부분에 첨부하였습니다. 다운로드 후 참고하시기 바랍니다.ㄴ. ... Function Cyclic Encoderㄱ. Codes뒷부분에 첨부하였습니다. 다운로드 후 참고하시기 바랍니다.ㄴ. ... ResultsMessage는 ‘1 1 1 0 1 1 0 1 0 0 1’을 입력하였습니다.encoder를 거친 Code word는 ‘1 1 1 0 1 1 1 0 1 1 0 1 0 0
    리포트 | 21페이지 | 3,000원 | 등록일 2012.11.05
  • 논리회로실험 결과보고서5 Decoder & Encoder
    또한 Encoder는 소자의 출력코드가 입력 코드보다 작은 비트를 가질 경우 그 소자를 Encoder라고 한다.? ... 실험은 74HC148를 이용하여8×3 Priority Encoder 회로를 구성해 보는 것이었다. ... 따라서 Priority Encoder의 기능을 잘 수행하고 있음을 알 수 있었다.실험에 대한 고찰?
    리포트 | 5페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • GUS Expression Driven by Promoter of AtSAGT1 Gene Encoding a Salicylic Acid Glucosyltransferase 1 in Arabidopsis Plants
    경북대학교 농업생명과학대학 Pamella Marie Sendon, Jong-Beum Park, Soon-Ki Park, Jong Tae Song
    논문 | 5페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • [예비]실험5. Decoder & Encoder
    Decoder & Encoder?실험목적1. 디코딩(Decoding)과 인코팅(Encoding)의 코드 변환 동작에 관해 실험하고 동작원리를 이해한다.?실험이론? ... 74HC148(8-to-3 line Octal Priority Encoder)④실험과정 및 예상 결과? ... 인코딩(Encoding)- 인코더는2 ^{n}개의 입력선 중에서 하나가 선택되면 그에 따른 n개의 출력 선으로 2진정보가 출력되는 회로이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2013.09.28
  • 논리예비5 Decoder & Encoder
    Decoder & Encoder1. 목적- 디코딩(decoding)과 인코딩(encoding)의 코드 변환 동작에 관해 실험하고 그 동작원리를 이해한다.2. ... 예를 들어 2비트로 코드화된 정보는 네 가지 조합을 만들 수 있으므로, 이때 출력되는 신호를~이라 한다.회로도블록도 (멀티플렉서)진리표2) 인코딩(Encoding)- 10진수나 8진수를
    리포트 | 5페이지 | 1,000원 | 등록일 2012.12.23 | 수정일 2013.11.07
  • Encoder와 Decoder의 기능 예비보고서08
    ▣ 목 적(1) Encoder와 Decoder의 기능을 익힌다.(2) 부호변환 회로의 설계방법을 익힌다.(3) Seven-segment(숫자표시기)의 사용방법을 익힌다.▣ 이 론상태 ... 혹은 명령들에게 그에 대응하는 2진 정보로 변환하는 회로를 encoder(부호기)라고 하며, 반대로 주어진 2진 정보가 어떠한 상태 또는 명령으로 나타내는 회로를 decoder(복호기 ... Encoder는 를 보면 알 수 있듯이 decoder회로의 반대기능을 지닌 회로로서2^n개 또는 이보다 적은 개수의 입력신호로부터 n개의 출력신호를 만든다.(3) 7-세그먼트 표시기
    리포트 | 3페이지 | 1,000원 | 등록일 2013.12.10
  • 실험 5. Decoder & Encoder(결과)
    Decoder & Encoder1. 실험 의의-디코딩(decoding)과 인코딩(encoding)의 코드 변환 동작에 관해 실험하고 그 동작 원리를 이해한다.2. ... 실험 4) Priority EncoderPriority Encoder에 관한 실험이었다. 우선순위가 낮은 것은 우선순위가 높은 것에 묻혀지는 것이는 회로이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.09.14 | 수정일 2014.01.01
  • 실험 5. Decoder & Encoder(예비)
    Decoder & Encoder1. 실험 목적디코딩과 인코딩의 코드 변환 동작에 관해 실험하고 그 동작 원리를 이해한다.2. ... 이러한 소자를 우선권 인코더(priority encoder)라 한다.가장 자연적인 10진 코드를 2진화 10진 코드(BCD)라고 하는데, 이는 십진수 0에서 9까지를 4비트 비부호화 ... 실험 4) Priority Encoder※각 실험 모두 다음과 같은 절차를 시행한다.① 회로도를 구성한다.② 각 스위치를 비트라고 가정하고, 스위치를 조작함에 따라 결과 값이 어떻게
    리포트 | 2페이지 | 1,000원 | 등록일 2012.09.14 | 수정일 2014.01.01
  • Sucessive Selection Encoder 설계
    Successive Selection Encoder 의 설계 및 Delay 측정Successive Selection Encoder(3bit, 4bit)의 설계와 측정값에 따른 Delay ... Sucessive Selection Encoder 설계1. SSE 의 원리 및 구조2. ... Flash ADC 는 크게 Comparator 와 Encoder 부분으로 구분하여 생각해 볼 수 있으며 병렬로 설계된 Comparator 에서 Thermometer 신호를 뽑아내고
    논문 | 25페이지 | 3,000원 | 등록일 2006.12.23
  • 아주대 논리회로실험 5 예비보고서 Decoder & Encoder
    Decoder & Encoder실험목적Decoder와 Encoder가 무엇인지 알고 어떤 방식으로 코드를 변환하는지 이해한다. ... 일반적으로 디코더는 n개의 입력선과 입력 값에 따라 선택된 하나의 출력선이 나머지 출력선들과 반대값을 갖는다.인코더인코더(encoder)는 디코더의 반대되는 기능(입력과 출력이 바뀐 ... B8%EB%B3%91%EC%A4%80/2014%EA%B8%B0%EC%B4%88%EC%A0%84%EC%9E%90%ED%95%99%EC%8B%A4%ED%97%981/Decoder_and_Encoder.pdf.논리회로실험
    리포트 | 8페이지 | 1,000원 | 등록일 2016.12.24
  • decoder & encoder 예비보고서
    실험목적디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.2. ... - priority encoder는 입력에 우선순위를 정하여 여러 개의 입력이 있을 경우, 우선순위가 높은 입력에 해당되는 출력신호를 내보낸다. ... 이용하여 8x3 Priority Encoder를 위의 회로처럼 구성하고, 각각의 입력에 대한 출력을 확인하여 그 결과값이 올바른지 확인해본다.5.
    리포트 | 12페이지 | 1,500원 | 등록일 2012.03.08
  • 7주차 Decoder / Encoder / 7-segment LED
    실험목적- Encoder, Decoder를 이해하고, 특성을 실험으로 익힌다.- 7-segment LED decoder를 이해하고, 특성을 실험으로 익힌다.실험과정1.4X2 encoder의 ... 회로를 구현하기 위해 Quartrus ll를 이용하여 회로를 구현 한 후 ModelSim값과 DE2-115에서의 동작을 확인한다.1) 회로구성사진위의 사진은 4x2 encoder의 ... 회로를 Quatrus ll를 사용하여 구현한 사진이다.사진을 분석해보면 Encoder의 입력으로 i가 들어가며 i0~i3까지의 4가지, 즉 2^2개의 입력이 들어가는 것을 볼 수
    리포트 | 10페이지 | 1,000원 | 등록일 2014.10.12
  • Encoder .Decoder. 7-segment 결과레포트
    할 때 필요한 encoder 와 decoder, 7-segment LED 의 .v 파일을 직접 끌어와서 추가시켜야 한다.1. 4 X 2 Encoder1) 4 x 2 encoder ... 회로를 Quartus II를 이용하여 구현한다.[ 그림 ] 4 x 2 encoder 회로 구성- 4 x 2 encoder .v 파일을 불러와서 logic에서 symbol을 추가한다. ... 회로 FPGA 검증[ 그림 ] 4 x 2 encoder 회로 보드 SUCCESSFUL[ 그림 ] 4 x 2 encoder FPGA 작동- 다음 사진은 Input에 대한 Output의
    리포트 | 14페이지 | 2,000원 | 등록일 2014.01.06
  • 예비07_Decoder&Encoder
    목적카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환동작에 관해 실험하고 그 동작원리를 이해한다.II. ... 부: 전자공학부제출일: 10.11.01 (월)과목명: 논리회로실험조교명: 유창승분 반: 월F학 번: 200920148성 명: 이슬기200920148_이슬기_예비07_Decoder&Encoder.hwpI
    리포트 | 6페이지 | 3,000원 | 등록일 2010.11.10
  • 인코더(Encoder) 레포트 실험&결과
    이와 같이 우선순위를 부여하는 인코더를 우선순위 인코더(priority encoder)라 한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.12.04
  • 결과07_Decoder&Encoder
    실험에 대한 고찰이번 실험에서는 카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 알아보았다. ... 우리가 지금까지 구성했던 감산기, 가산기, MUX/DeMUX, DeCoder/EnCoder는 조합회로이고, 카운터, 레지스터, 그리고 다음에 실험할 RAM은 순차회로에 해당된다. ... 부: 전자공학부제출일: 10.11.08 (월)과목명: 논리회로실험조교명: 유창승분 반: 월F학 번: 200920148성 명: 이슬기200920148_이슬기_결과07_Decoder&Encoder.hwpI
    리포트 | 6페이지 | 3,000원 | 등록일 2010.11.10
  • Encoder, Decoder, Mux 예비레포트
    부호화기(encoder)는 디코더와 반대되는 기능을 수행한다. ... 부호화기(Encoder)상태 또는 명령들을 이진수의 코드로 변환하는 장치로 해독기(Decoder)의 반대 기능을 갖는다. ... 이 회로의 결과는 다음과 같다.Encoder 란 2n개의 입력을 n개의 출력으로 바꾸고 출력을 2진수로 코드화 시키는 것을 말한다.
    리포트 | 14페이지 | 1,000원 | 등록일 2010.10.16
  • mp3 voice encoder
    마이크를 입력하여 녹음한 데이터를 바로 mp3로 저장하는 소스!!
    리포트 | 1,000원 | 등록일 2009.05.27
  • 기초 회로 실험 보고서 8장(결과)-인코더와디코더(encoder)
    6. 결과 보고서※각 항목에 반드시 검토 및 토의 내용을 작성하여 제출할 것.실험일시실 험 조 (09조)공동 실험자공동 실험자학번성명학번성명학번성명1) 표 1BAD0D1D2D30V0V○---0V5V-○--5V0V--○-5V5V---○VCC 값을 5V로 하였으므로 입력에..
    리포트 | 2페이지 | 2,000원 | 등록일 2016.12.06
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:46 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대