• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,821)
  • 리포트(2,588)
  • 시험자료(121)
  • 방송통신대(44)
  • 논문(37)
  • 자기소개서(18)
  • 서식(9)
  • ppt테마(2)
  • 전문자료(1)
  • 이력서(1)

"Encoder" 검색결과 121-140 / 2,821건

  • [예비]Encoder를 이용한 위치 검출 및 개루프 제어 실험
    실험 이론1) 회전식 엔코더(Rotary Encoder)① 회전식 엔코더(Rotary Encoder)란? ... 샤프트 엔코더(shaft encoder)라고도 불린다. ... 실험 제목- Encoder를 이용한 위치 검출 및 개루프 제어 실험2.
    리포트 | 4페이지 | 3,000원 | 등록일 2010.06.07
  • VHDL Decoder and Encoder(prelab 입니다) xilinx vhdl
    전자전기컴퓨터설계실험IIIPRELAB REPORT[Decoder and Encoder]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "purpose" 1.
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • 논리회로실험 복호기와 부호기(Decoder & Encoder)
    복호기와 부호기(Decoder & Encoder)Ⅰ. ... 목 적카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해실험하고 그 동작원리를 이해한다.Ⅱ. ... 이 경우 디코딩에 약간의 여유가 생기기 때문에사용되는 모든 게이트가 K개의 입력을 필요로 하지는 않는다.(2) 부호기(Encoder)⇒ 부호기는 10진수를 2진수 코드로 바꾸거나 입력
    리포트 | 6페이지 | 1,500원 | 등록일 2010.03.20
  • 사운드 신호의 분류에 대해 설명하시고, 아날로그 신호를 디지털 신호의 처리 변조 과정인 표본화(Sampling), 양자화(Quantization), 부호화(Encoding)에 대해 설명하시오
    사운드 신호의 분류에 대해 설명하시고, 아날로그 신호를 디지털 신호의 처리 변조 과정인 표본화(Sampling), 양자화(Quantization), 부호화(Encoding)에 대해 ... 참고자료사운드 신호의 분류에 대해 설명하시고, 아날로그 신호를 디지털 신호의 처리 변조 과정인 표본화(Sampling), 양자화(Quantization), 부호화(Encoding)에 ... 이를 참고하여 본 고에서는 사운드 신호의 분류에 대해 설명하고, 아날로그 신호를 디지털 신호의 처리 변조 과정인 표본화(Sampling), 양자화(Quantization), 부호화(Encoding
    리포트 | 4페이지 | 4,000원 | 등록일 2017.02.11
  • [센서공학]엔코더(Encoder)에 대한 PPT자료
    엔코더(Encoder)로터리 엔코더(Rotary Encoder) : 회전검출기 앱설루트형식(Absoluty Type) 인크리멘탈식(Incremental Type) 라인 엔코더(Linear ... Encoder) : 변위측정기(리니어 스케일이라고도 함) 광전식 리니어 엔코더 자기식 리니어 엔코더 전자식 리니어 엔코더로터리 엔코더(Rotary Encoder)로터리 엔코더는 일반적으로 ... 1100 1101 1110 11110 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15그레이 코드2진코드10진수광전식 로타리 인코더(절대형)리니어 엔코더(Linear Encoder
    리포트 | 16페이지 | 1,500원 | 등록일 2007.02.11
  • [데이터통신] Data Encoding
    // CEncoding.cpp : Defines the class behaviors for the application.//#include "stdafx.h"#include "CEncoding.h"#include "CEncodingDlg.h"#ifdef _DEBUG#d..
    리포트 | 2페이지 | 1,000원 | 등록일 2003.06.26
  • 기초회로 실험 Encoder와 Decoder를 이해하고, 이를 응용하여 7segment LED를 활용한다. (결과보고서)
    기초회로실험(2분반) 2조 결과보고서 1(제 8회)실 험 주 제Encoder와 Decoder를 이해하고, 이를 응용하여 7segment LED를 활용한다.제 출 일 시학 번 / 이 ... 따라서 7-segment LED의 a, b, d, e, g에 불이 켜져숫자 ‘2’를 나타내게 된다.기초회로실험(2분반) 2조 결과보고서 2(제 8회)실 험 주 제Encoder와 Decoder를 ... 있었고, 앞으로 회로를 브래드보드 상에 구현할 때 회로해석이 간편하고 깔끔한 정리가 되도록 연습해야함을 알 수 있었다.기초회로실험(2분반) 2조 결과보고서 2(제 8회)실 험 주 제Encoder
    리포트 | 3페이지 | 1,000원 | 등록일 2012.10.31 | 수정일 2013.11.11
  • [기초회로실험] 디코딩과 엔코딩(Decoding & Encoding)
    엔코딩과 코드변환 동작에 대해 공부한다.실험목적■ 10진수를 2진수나 BCD코드로 변환하는 조작을 인코드(encode)라 하고, 이것을 수행하는 회로를 인코더(encoder)라고 한다 ... Decoding Encoding카운터의 디코딩 동작에 대해 공부한다. ... 변환하는 조합 논리 회로■ 입력 단자 수가 n개이라면 출력 단자 수는 2n개가 되며, 이것이 n  2n 디코더(n by 2n decoder)■ 디코더(Decoder)와 인코더(Encoder
    리포트 | 13페이지 | 1,500원 | 등록일 2009.05.14
  • convolutional encoder와 viterbi decoder 구현 with C(컨볼루셔널 엔코더와 비터비 디코더를 C로 구현)
    본 자료는 디지털 통신에 있어 필수적이고 가장 중요한 convolutional encoder와 그에 따른 viterbi decoder를 구현한 것입니다. convolutional encoder
    리포트 | 1페이지 | 2,000원 | 등록일 2014.01.14
  • 전전컴실험Ⅱ 06반 제07주 Lab#05 [Decoder, Encoder, Mux] 예비 보고서
    목 차< 초록 (Abstract) >‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 11. Introduction (실험에 대한 소개) ‥‥‥‥‥‥‥‥‥‥‥‥ 1가. Purpose of this Lab ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 1나. Essential Background..
    리포트 | 11페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 전전컴실험Ⅱ 06반 제07주 Lab#05 [Decoder, Encoder, Mux] 결과 보고서
    그리고 이 개념으로 encoder, decoder, convertor를 만들어 낼 수 있다..나. ... 그리고 encoder, decoder, convertor는 실험 결과를 얻지 못했다. 이번 기본 bit 수에 대한 개념을 다시 바로 잡았다.1. ... ) >이번 실험은 1bit 16x1 mux / 1x16 demux와 4bit 4x1 mux / 1x4 demux를 직접 만들어 보고, 이 개념을 확장시켜 Binary to BCD encoder
    리포트 | 15페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • [JPEG] C로 구현한 JPEG Encoding & Decoding (VC)
    멀티미디어론 과제 중 하나인 JPEG 알고리즘 구현입니다.실제 JPEG파일로 만드는 부분이 아닌 핵심 알고리즘 구현입니다.입력값은 텍스트로 된 RGB 0~255값 데이터 이며, 출력값 또한 텍스트로 된 JPEG으로 압축된 값입니다. 여기서 만약 JPEG파일로 으로 만드..
    리포트 | 1,000원 | 등록일 2007.02.01
  • VHDL-Pre lab - Decoder and Encoder!! (A+리포트 보장)
    실험 이론지식 Decoder and Encoder3. 8x3 encoder 의 VHDL 코드 작성design it with dataflow modeldescribe its input ... 실험 목적이번 실험은 decoder와 encoder 의 정확한 개념을 파악하고 8x3 encoder와 3x8 decoder 를 진리표를 보고 논리식을 세운 다음, Dataflow model ... Lecture 6Decoder and Encoder==================Contents===============Pre Report----------------------
    리포트 | 12페이지 | 2,000원 | 등록일 2009.06.29
  • VHDL-Post lab - Decoder and Encoder!! (A+리포트 보장)
    실험 이론지식 Decoder and Encoder3. 8x3 encoder 의 VHDL 코드 작성design it with dataflow modeldescribe its input ... 에서 valid 값 지정.8x3 encoder에서 모든 input 값이 LED로 반영 되는 것은 아니었다. ... Lecture 6Decoder and Encoder==================Contents===============Pre Report----------------------
    리포트 | 8페이지 | 1,500원 | 등록일 2009.06.29
  • VHDL Decoder and Encoder(post lab입니다) VHDL code 포함
    전자전기컴퓨터설계실험IIIPOSTLAB REPORT[Decoder and Encoder]학 과전자전기컴퓨터공학부담당교수김영길 교수님조4 조학 번2004440044이 름노 성 호제 출
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • [전자공학] 엔 코 더(Encoder)
    ..PAGE:1엔 코 더(Encoder)..PAGE:2목 차Encoder 개요Encoder의 종류Rotary Encoder의 구조Encoder의 특징Encoder 출력방식Rotary ... Encoder의 응용 예..PAGE:3Encoder 개요입력축에 주어진 물리적 변위량(기계적인 이동량, 회전량)을 전기적 Digital 신호로 변환하는 광 SensorRogot Arm의 ... 구조에의한 분류Absolute typeIncremental type코드 형태에의한 분류Linear EncoderRotary Encoder일반적분류..PAGE:5Rotary Encoder
    리포트 | 23페이지 | 2,500원 | 등록일 2003.07.05 | 수정일 2015.04.25
  • [멀티미디어] ADPCM Encoding & Decoding 알고리즘 구현(VC)
    요구 사항1.Linear PCM 데이터를 ADCPM으로 인코딩하는 프로그램을 구현하여라.-입력 데이터 : ASCII파일로 된 연속된 부호 있는 16비트의 상수값-출력 데이터 : ASCII 코드 형태로 IMA-ADPCM 인코딩된 부호있는 4비트의 상수값2.인코딩한 ADC..
    리포트 | 2,000원 | 등록일 2007.02.01
  • C언어로 짠 허프만 encode,decode 프로그램
    // 허프만 Encoder 함수 void HuffmanEncoder(FILE *fi){FILE *fo1;int cur,b,i;unsigned int length, enc_length
    리포트 | 2,500원 | 등록일 2005.04.28 | 수정일 2014.03.28
  • [공학]VHDL - MUX, DEMUX, COMPARE, ENCODER, PARITY, DECODER
    Library ieee; use ieee.std_logic_1164.all; entity muxp is port(s : in std_logic_vector(2 downto 0); I0, I1, I2, I3..
    리포트 | 4페이지 | 1,000원 | 등록일 2006.11.04
  • [디지털통신2]Matlab Design_(7,3) Linear Block Code Encoding and Decoding
    Block-Level Design Using MATLAB- (7,3) Linear Block Code Encoding and Decoding -제출일전공학번이름1. ... (b) the total number of codewords⇒(Encodeing)⇒∴ ⇒ Codeword의 총개수 : 23=8개☞ 모든 가능한 k-bit의 메시지를 n-bit의 코드를 ... Generate 100,000 random message vectors and encode it.① (7,3) Linear block code를 만들기 위한 코드%% Parameter
    리포트 | 7페이지 | 2,000원 | 등록일 2009.01.03
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:03 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대