• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,821)
  • 리포트(2,588)
  • 시험자료(121)
  • 방송통신대(44)
  • 논문(37)
  • 자기소개서(18)
  • 서식(9)
  • ppt테마(2)
  • 전문자료(1)
  • 이력서(1)

"Encoder" 검색결과 101-120 / 2,821건

  • [A+ 예비보고서] 아주대 논리회로실험 실험5 'Decoder & Encoder'
    ●priority encoder-priority encoder는 우선순위인코더라하며 하나의 10진 입력이 아닌 여러개의 입력을 받았을 때, 높은 우선순위를 가진 출력값이 출력된다.3 ... 구성하고 10진 입력을 주고 그 결과를 관찰한다.- priority encoder에 대하여 이해한다.2. ... 예비보고서실험목적- 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.- AND gate를 이용하여 Excess-3 Code를
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • [A+ 결과보고서] 아주대 논리회로실험 실험5 'Decoder & Encoder'
    구성하고 10진 입력을 주고 그 결과를 관찰한다.- priority encoder에 대하여 이해한다.2. ... 결과보고서실험목적- 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.- AND gate를 이용하여 Excess-3 Code를 ... ●Encoder실험3 Decimal to Excess-3Decimal 0Decimal 1Decimal 2Decimal 3Decimal 4Decimal 5Decimal to Excess
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • VHDL로 구현한 해밍코드(Hamming code)의 인코더(encoder), 디코더(decoder) 설계
    설계과정 : 주어진 information bit에 패리티비트를 추가하는 Encoder의 설계, 인코딩 되어진 12자리 비트에 에러를 의도적으로 추가하여 시뮬레이션 했을 때 자체적으로
    리포트 | 4페이지 | 5,000원 | 등록일 2013.12.29 | 수정일 2020.12.14
  • Matlab을 이용한 cyclic encoder 및 decoder 설계
    Encoder 2. Decoder 3. ... or Decoding course% 주어진 Codeword가 있다면 Encoding 과정없이 Decoding 가능% 변수 U는 Encoding으로 생성된 Codeword C는 Decoding ... No : ');if (Select3 ==1)C = CyclicDecoder(U, P)else'Cyclic code Encoding 및 Decodi232425262728% Encoder
    리포트 | 13페이지 | 3,000원 | 등록일 2010.06.03
  • xilinx를 이용한 디코더(Decoder)와 인코더(Encoder)설계
    STD_LOGIC_VECTOR(2 downto 0)); end ENCODER8_3; architecture if-encoder of ENCODER8_3 is begin process ... A : out STD_LOGIC_VECTOR(2 downto 0)); end ENCODER8_3; architecture else_encoder of ENCODER8_3 is begin ... 6주차 과제디코더(Decoder)와 인코더(Encoder)설계1.
    리포트 | 19페이지 | 1,500원 | 등록일 2010.06.24
  • [토끼] 기초전자공학실험1_Encoder_Decoder_MUX
    Encoder, Decoder, MUX2.Name구 분학 번이 름3.Abstract1) Decoder에 대하여 이론적인 학문을 습득하고 그 예시에 대하여 살펴본다.2) Encoder에 ... 우리조가 생각하기엔 AND게이트가 주로 사용되는 것을 보니 실험 3번과는 달리 OR게이트로 압축시키는 Encoder를 보면 이해하기가 쉬웠다. ... 응용하여 스위치를 사용한 7-segment실제동작원리를 출력을 확인한다.6) 실험3을 통하여 8 by 3 encoder를 gate를 이용하여 구성하여실제 출력을 확인 한다.7) 실험4를
    리포트 | 40페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2020.07.10
  • 아주대 논리회로실험 실험예비7 복호기와 부호기 (Decoder & Encoder)
    복호기와 부호기 (Decoder & Encoder) 예비보고서● 이론(1) 복호화(Decoding)2진수를 10진수로 바꿔주는 것으로 카운트 상태를 AND gate를 이용하여 디코딩한다 ... 이 경우 흔히 쓰여지는 방법은 먼저 2진 코드로 디코딩한 후 새로운 코드로 인코딩하는 방법이다.(4) 부호기(Encoder)어떤 부호계열의 신호를 다른 부호계열의 신호로 바꾸는 변환기를 ... 멀티플렉싱 등의 응용분야에서는 2진 체계 또는 2진 다중비트 카운트 상태(multiple count state)를 십진수 형태 또는 단일 출력으로 변환시키는 것이 필요하다.(3) 부호화(Encoding
    리포트 | 12페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 디지털 통신 Term project_Encoder and Decoder for Systematic (N,K) Cyclic Codes
    이동통신공학Encoder and Decoder for Systematic (N,K) Cyclic Codes코드 및 해석1. ... CyclicEncoder.m% Encoder for Systematic (N,K) Cyclic Codes% function y = CyclicEncoder(MessageData,Polynomial
    리포트 | 10페이지 | 2,000원 | 등록일 2013.10.13 | 수정일 2013.10.17
  • Encoding
    데이타 비트를 각 신호요소로 mapping시키는 방법을 일컫는 것.
    리포트 | 4페이지 | 무료 | 등록일 1999.11.13
  • 아주대 논리회로실험 실험결과7 복호기와 부호기 (Decoder & Encoder)
    L(불켜짐)4의 Excess-3 코드값 0111H(불꺼짐), L(불켜짐)5의 Excess-3 코드값 1000H(불꺼짐), L(불켜짐)< 표.2 Decimal-to-excess 3 encoder ... DecimalDCBA0*************1301104011151000SimulationComent : 이번 실험은 인코더와 디코더 실험중 Decimal-to-excess 3 encoder ... 수 있다는 사실이 신기했고 실제로 내눈으로 확인해보고 싶은 마음이 굴뚝같았다.그렇게 부푼 마음을 안고 실험을 진행해보았다.먼저 실험4 에서는 Decimal-to-excess 3 encoder
    리포트 | 9페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • [논리회로과제]해밍코드 4 Input 7 Output ENCODER,DECODER 만들기
    4비트의 입력을 받아 7비트의 해밍코드를 출력하는 인코더를 만든다.A,B,C,D는 정보비트, H1에서 H7는 해밍코드이다. 왼쪽 그림의 entity와 entity안의 architecture을 구성하여 해밍코드가 출력되도록 하는 vhdl을 구성하고 testbench fi..
    리포트 | 15페이지 | 4,000원 | 등록일 2013.01.21
  • 아주대 논리회로실험 래치와 플립플롭 , Decoder &Encoder결과보고서
    이 8 X 3 Priority Encoder는 입력이 2개가 들어와도 우선순위에 있는 입력만 읽는다. ... 실험 전에 디코더에 관한 설명을 친구에게 듣고 가서 문제없이 실험을 끝낼 수 있었다.다음 실험은 8 X 3 Priority Encoder를 구성하는 실험이었다. ... Decoder & Encoder실험 1) 2*4 디코더AND, NOT gate를 사용해서 2*4 디코더회로구성실험 1 결과값입력출력ABD0D1D2D30*************0010110001실험
    리포트 | 7페이지 | 1,000원 | 등록일 2013.11.29
  • 정보통신 Pulse Code Modulation 펄스부호변조(PCM)이란? - 표본화(Sampling), 양자화(Quantization), 부호화(Encoding), 변환 소프트웨어
    부호화(Encoding)란?5. 변환 소프트웨어6. 참고 자료1. ... 부호화(Encoding)란?양자화 과정에서 얻은 결과 정수 값을 2진수로 변환양자화 데이터를 부호화5.
    리포트 | 5페이지 | 1,000원 | 등록일 2017.05.19
  • [결과]실험5. Decoder & Encoder & 실험6. Latch & Flip-Flop
    실험5-2. 8X3 Priority Encoder실험5-2에서는 priority encoder 소자인 74HC148 소자의 입력과 출력사이의 관계를 관찰하였다. 8x3 encoder이기 ... Decoder & Encoder & 6. Latch & Flip-Flop1. 실험과정 및 결과? ... Enable입력이 0일 때 소자는 활성화되므로 GND에 연결해 주었고, active low로 작동하는 priority encoder 소자이므로 소자에서의 높은 첨자의 입력에 L이 걸리면
    리포트 | 7페이지 | 1,500원 | 등록일 2013.09.28
  • [VHDL]디코더(decoder)와 인코더(encoder)
    isend tb_encoder_bh;architecture behave of tb_encoder_bh issignal d: std_logic_vector(7 downto 0);signal ... 2. 8x3 인코더-behavior modeling소스 코드Library ieee;use ieee.std_logic_1164.all;entity encoder_bh is port ... x : out std_logic_vector(2 downto 0));.......Library ieee;use ieee.std_logic_1164.all;entity tb_encoder_bh
    리포트 | 6페이지 | 5,000원 | 등록일 2007.06.26 | 수정일 2017.11.21
  • ENCODER, LANCH & FLIP-FLOP
    ENCODER인코더(encoder)는 디코더의 반대되는 기능(입력과 출력이 바뀐 기능)을 수행하는 회로이다. ... ENCODER, LANCH & FLIP-FLOP(예비 보고서)학부 : 전자공학부학번 : 200020325이름 : 류병철**배경이론**1.
    리포트 | 21페이지 | 3,000원 | 등록일 2007.06.18 | 수정일 2015.08.26
  • 결과보고서 실험 7. 복호기와 부호기(Decoder & Encoder)
    복호기와 부호기(Decoder & Encoder) >< 목 적 >카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다 ... 접지형 7segment LED를 이용해 실험 하작에 관해 실험하고 그 동작원리에 대하여 실험을 통하여 알아보았다.실험 전 실험 강의 자료를 통해 복호기와 부호기(Decoder & Encoder
    리포트 | 8페이지 | 3,000원 | 등록일 2012.03.11
  • RADIX - 4 BOOTH ENCODE wallace tree 20bit multipiler
    module top ( output [39:0] z, input [19:0] a , b , input clk, reset ); wire [1..
    리포트 | 7페이지 | 2,500원 | 등록일 2010.08.02
  • [결과]Encoder를 이용한 위치 검출 및 개루프 제어 실험
    제어설계 실습 결과 보고서실험실습 Encoder를 이용한 위치 검출 및 개루프 제어 실험성 명학 번조? ... 각 위치 값과 오차입력 각 위치(reference input) : A결과 각 위치(encoder) : B오차((A-B)/A * 100%)0°-3.61°? ... 60°로 넘어가는 구간에서 약 0.4 정도의 낮은 증가율을 보였다.2) 듀티 비와 엔코더에서 출력된 각 위치의 관계식=> 듀티비 =3) 다음 reference input에 대한 encoder
    리포트 | 5페이지 | 3,000원 | 등록일 2010.06.07
  • Encoder, Decoder, MUX(Multiplex)의 작동원리 및 특징 실험 레포트
    Encoder에서의 입력은 Decoder에서의 출력과 동일하며 Encoder에서의 출력은 Decoder에서의 입력과 동일하였다. ... 때문에 Encoder를 실험할 때와 동일한 방식으로 AND Gate 2개를 조합하여 3입력 AND Gate를 얻었다. ... 단순한 입력으로부터 사용자가 설계한 임의의 코드를 생성할 수 있는 장치이다.우선 Encoder는 여러 개의 입력 단자와 여러 개의 출력 단자로 이루어져 있다.
    리포트 | 25페이지 | 3,000원 | 등록일 2010.06.09
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:30 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대