• 통큰쿠폰이벤트-통합
  • 통합검색(370)
  • 리포트(335)
  • 방송통신대(16)
  • 자기소개서(15)
  • 시험자료(3)
  • 논문(1)

"디지털 알람 시계" 검색결과 81-100 / 370건

  • 존 피치, 맥스 프렌젤의 <이토록 멋진 휴식>, 휴식은 업무 생산성을 올리는 보약이다
    우리가 사용하는 분, 초, 알람시계 등은 이에 해당한다. ... 존 피치-미국 오스틴 소재 텍사스대학교에서 경영미디어 전공-디지털 제품 디자인과 업무 자동화 테크놀로지에 투자하는 엔젤투자자이자 비즈니스 코치-소프트웨어 업의 온갖 일중독 증상을 달고
    리포트 | 7페이지 | 2,500원 | 등록일 2024.04.28
  • 학생 생활 문화의 이해 PPT
    자기주도학습의 기록물로 유용하게 사용 → 주로 공부 계획을 꼼꼼하게 작성한 플래너 , 공부하는 책을 펼쳐 놓은 책상 , 공부하려고 일어난 시간을 인증하기 위해 알람시계 등을 찍은 사진을 ... 문화 속 학교폭력 2 조 최근 일어나고 사이버 폭력의 예시 저격 개인이 SNS 상에서 피해 대상에게 게시글이나 댓글로 비난하는 행위 디지털 그루밍 온라인 채팅 , 모바일 메신저 ,
    리포트 | 31페이지 | 2,500원 | 등록일 2024.08.24 | 수정일 2024.08.29
  • 뉴욕여행을 위한 100가지 장소 및 챙겨야할 준비물
    지갑페브리즈미니 아이봉백신 확인증 출력잠옷아이패드모자220->110 변환기면봉도난방지 스트랩신발 습기 제거제타이레놀삼성 스마트태그슬리핑팩읽을 책트레이닝복환전스킨로션쇼핑백or에코백지퍼백밴드알람 ... 시계회화 책실내용 겉옷(집업)목베개치약여행용 티슈베개 커버발바닥 휴식블루투스 스피커보온 마스크현지인 선물면도기 &케이스코로나 테스트기먹던 약영화 다운장갑숙박 출력헤어 에센스3단우산면티공연 ... 젓가락아쿠아 슈즈수건 한장백팩 커버두꺼운 외투빗선글라스가벼운 외투핀셋셀카봉 겸 삼각대구두이쑤시개핫팩구두 주걱망원경돌돌이휴대용 선풍기종류명칭내용위치 1위치 2유료 명소ARTECHOUSE디지털
    리포트 | 1페이지 | 무료 | 등록일 2023.07.26 | 수정일 2023.08.01
  • 수원대 화공실험 연속증류실험(예비)
    . → 알람빅증류기→ 소주※ 측류 : 증류탑중간 부분에서 빠져나오는 액체. ... 예열 Heater의 제어 방법은 자동온도조절기를 설치하여 원하는 온도로 설정하여 4~20mA로 제어한다.(7) 증류탑 내의 각 지점의 온도측정 point는 13개이며 조작판넬 상의 Digital ... (8) 원시료와 환류용액의 공급도 조작패널 위의 S/W 작동으로 동작한다.7) 장치의 전원장치- 준비물메스실린더, 삼각 플라스크, 비중병, Methanol, DDI Water, 초시계
    리포트 | 12페이지 | 1,000원 | 등록일 2019.12.20
  • 한샘 CI & BI,한샘사업영역,한샘의 경쟁력
    탁자 위에는 무드등과 알람시계 휴지 등 아침에 일어났을때 필요한 생필품들이 있다 . 옷장은 주로 벽에 위치하고 있고 , 화장대는 문 옆 콘센트가 위치한 곳에 있는 경우가 많다 . ... 디자인 철학 Design philosophy 01 동북아 시장에 새로운 비전을 제시하는 디자인 02 자연 환경과 조화를 이루는 디자인 03 디지털 시대에 최적화된 디자인 한샘 디자인
    리포트 | 25페이지 | 1,500원 | 등록일 2020.04.27
  • 빅데이터분석기사 필기 핵심내용정리 NEW
    종류정형 : 스키마구조 O, 고정필드 O (RDBMS, 스프레드 시트)반정형 : 스키마구조 O 메타데이터 O 고정필드 X (XML, JSON, HTML, RSS, 웹/시스템 로그, 알람 ... 반정형 데이터정성적 데이터 : 비정형 데이터-지식 유형암묵지 : 학습과 경험을 통해 개인이 습득 했으나, 겉으로 드러나지 않는 지식 (공통화, 내면화)형식지 : 암묵지가 물리적 및 디지털로 ... 스캐터플롯정규분포에서 표준편차가 3 이상인 값도메인에서 이론적이나 물리적으로 맞지 않는 값-시공간데이터지도 데이터패턴 데이터격자 데이터-패널 데이터는 복수의 데이터를 여러 번 관측한 것으로 시계
    시험자료 | 18페이지 | 4,900원 | 등록일 2023.07.13 | 수정일 2024.01.08
  • 빅데이터분석기사 필기완벽정리 For 2024
    종류정형 : 스키마구조 O, 고정필드 O (RDBMS, 스프레드 시트)반정형 : 스키마구조 O 메타데이터 O 고정필드 X (XML, JSON, HTML, RSS, 웹/시스템 로그, 알람 ... 반정형 데이터정성적 데이터 : 비정형 데이터-지식 유형암묵지 : 학습과 경험을 통해 개인이 습득 했으나, 겉으로 드러나지 않는 지식 (공통화, 내면화)형식지 : 암묵지가 물리적 및 디지털로 ... 스캐터플롯정규분포에서 표준편차가 3 이상인 값도메인에서 이론적이나 물리적으로 맞지 않는 값-시공간데이터지도 데이터패턴 데이터격자 데이터-패널 데이터는 복수의 데이터를 여러 번 관측한 것으로 시계
    시험자료 | 18페이지 | 4,900원 | 등록일 2023.07.13 | 수정일 2023.12.14
  • 현대모비스 연구개발(설계) 첨삭자소서
    가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. ... 시절 로봇키트를 가지고 부품위치를 설계하고 조립하여 완성된 로봇이 제대로 동작했을 때의 성취감, 대학교 3학년 논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.02.03
  • VHDL - Digital Watch 소스. 정상 동작 확인. A+
    디지털 설계 , 콤보2 장비를 사용했습니다. vhdl 프로그램 소스입니다.VHDL - Digital Watch 소스 입니다. ... 메인시계, 스탑워치, 알람설정, 시간설정, Dot Matrix, vfd 등 포함되어 있습니다.모드 변환이 가능하며 스탑워치 start / stop, reset 기능 있습니다. ... 알람 소리는 입니다.시간 설정 모드는 아래의 버튼을 누르고 있으면 시간이 증가하고 모드를 변경해도 원래의 시계가 설정된 시간에 따라서 계속 진행됩니다.모드에 따라
    리포트 | 55페이지 | 3,000원 | 등록일 2014.12.30 | 수정일 2016.05.24
  • Digital Clock
    FPGA BOARD와 FLOWRIAN을 이용한 논리 회로(디지털 시스템) 설계1.2. ... FLIP-FLOP과 REGISTER등을 이용하여 전자시계에 필요한 기능(Counter,분주기 등) 구현 및 최종 목표인 전자시계 구현과제 내용시계 : 현재 시간을 표현세계 시계 : ... 현재 시간을 기준으로 한 세계 시간 변경알람 : 입력한 시간을 저장 후 저장한 시간이 되면 알람이 작동스톱워치 : 1/100초까지 구현 START/STOP, SAVE/RESET버튼
    리포트 | 20페이지 | 2,000원 | 등록일 2016.11.28
  • 디지털시계,digital clock 프로젝트
    그 뒤 P3.3을 누르면 알람 시간이 저장되고 알람이 ON된다. ... 알람은 다음과 같이 설정한다. P3.2를 눌러 알람 설정 모드로 진입한다. P3.4와 P3.5를 이용하여 알람 시간을 설정한다. ... FND1, FND2 모두 블랭크인 경우t = y & 0xF.0과 P3.1을 읽어 동작모드 결정if (c == 3) { // P3.1 = 1, P3.0 = 1clock_mode();// 시계모드
    리포트 | 20페이지 | 1,500원 | 등록일 2017.04.02
  • 디지털 시계 실제로 구현하기
    프로젝트 소개주제디지털 시계기능시계 기능알람 기능AM/PM 표시 기능12시/24시 선택 기능10조 ‘지금 몇 시계~?’ ... 의 텀프로젝트 주제는 ‘디지털 시계’입니다.디지털 시계를 주제로 선택한 이유는 시계에 여러 부가 기능을 추가함으로써 한 학기 동안 배운 것을 많이 활용할 수 있을 것이라 생각했기 때문입니다 ... 고찰(문제 원인 분석 및 해결)이번 텀프로젝트에서 ‘디지털 회로 및 실습’ 수업에서 배운 지식을 토대로 ‘디지털 시계’를 만들고자 했습니다.
    리포트 | 12페이지 | 20,000원 | 등록일 2016.05.20 | 수정일 2021.05.30
  • 디지털 시계 제작(디지털공학 실습 과제)
    디지털 시계 설계1. ... 실험 목적(1) TTL IC를 사용한 디지틀 시계를 설계한다.(2) 설계된 시스템을 제작한다.(3) 제작된 시스템을 측정하고 설계한 회로와 비교 검증한다.2. ... 우리는 캐패시터값을 우선적으로 정하고 저항값을 선택하여 디지털시계의 1초에 해당하는 주파수를 구한다.2) 카운터 회로디지털 시계에서 쓰게 될 카운터로는 enable 제어신호를 갖는
    리포트 | 12페이지 | 2,500원 | 등록일 2013.01.18
  • 논리설계 및 실험 전자시계 결과보고서
    법 사용한 회로 등을 설명해둔 문서이다.목표FPGA BOARD와 FLOWRIAN을 이용한 논리 회로(디지털 시스템) 설계FLIP-FLOP과 REGISTER등을 이용하여 전자시계에 ... (알람 on상태에서 현재 시계가 해당 시간이 되었을 시 D8에 불이 들어옴, off상태일 시 현재 시계와 값이 같아도 불이 들어오지 않음)알람 상태에서 A버튼을 누를 시 타이머 상태로 ... 시 알람 설정 모드로 넘어간다.알람알람의 초기 상태는 P 01:00:00으로 설정한다.알람 상태에서 B버튼을 누를 시 알람 시간을 변경 할 수 있다.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.11.28
  • 마이크로프로세서 프로젝트 report (8051컨트롤러를 이용한 디지털시계)
    CLOCK : 초 단위 표시의 전자시계12시간 초 단위 표시 시계알람기능이 있는 디지털 전자 시계이다.오른쪽 하단의 스위치로 값을 조정해 줄 수 있다. ... 이를 이용하여 디지털시계장치, 외부에 일정 간격의 인터럽트 발생 및 지속적인 동작 수행이 가능하다. ... 목적어셈블리어로 작성된 8051 컨트롤러를 이용해서 4가지 동작을 가지는 디지털 시계를 직접 구현하고 구현 알고리즘을 분석하여 사용되는 8051 컨트롤러의 명령어와 인터럽트 타이머/
    리포트 | 26페이지 | 1,500원 | 등록일 2016.02.15 | 수정일 2016.06.02
  • [Flowrian] 디지털 시계 회로의 Verilog 설계 및 시뮬레이션 검증
    디지털 시계를 구성하는 모듈들을 Verilog 언어로 설계하고 시뮬레이션으로 검증한다.- mux4b : 4 비트 3 입력 멀티플렉서 - comparetime : 현재 시간과 알람 시간 ... 구현하는 모듈- digiwatch : 디지털 시계의 최상위 모듈Verilog 언어를 이용하여 디지털 응용 회로의 고급 설계를 배우려는 분에게 도움이 된다. ... 시계의 동작 모드 선택용 유한상태머신 - alarmcontrol : 알람 제어용 유한상태머신 - timecontrol : 현재 시간 제어용 유한상태머신 - stwcontrol :
    리포트 | 74페이지 | 4,000원 | 등록일 2011.09.17
  • AVR 마이크로 프로세서 ATmega128 을 이용하여 시계, 스탑 워치, 알람, 다운 카운터 실험 설계 프로젝트 (코드 포함)
    디지털시계이다.Mode 1 : Stop WatchTime을 기록하는 기능으로 Lap_time을 기록하는 기능을 포함한다.스위치가 5개 있는데 1번 스위치로 스타트하게 된다.Lap ... 목적Microprocessor 실험 및 설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반 Microcontroller Unit인 ATmega128 라이트 모듈로 Digital ... 분석총 5가지 Mode로 설계하였으며, button 1을 이용하여 Mode를 변경할 수 있게 하였다.Mode 0 : Digital Clock가장 기본적인 기능으로써 Timer을 이용한
    리포트 | 29페이지 | 5,000원 | 등록일 2017.01.11
  • VHDL을 이용한 디지털시계
    설계 절차① 디지털 시계의 설계 사양 결정㉠ 디지털 시계의 설계 과정 블럭도㉡ 디지털 시계의 기능 설명입력: CLK : 외부에서 제공하는 시스템 클럭SW1, SW2 : 각종 모드 설정 ... 시간모드-디지털 시계의 초기 모드. ... 제목디지털 시계 구현2. 목적지금까지 배운 것을 기반으로 하여 기능이 다양한 시계를 구현해 본다.3.
    리포트 | 25페이지 | 7,000원 | 등록일 2013.07.04 | 수정일 2017.04.14
  • 전전컴실험Ⅱ 06반 제15주 Project [최종보고서] 전자시계, digital watch
    -알람 시계 기능 : bus와 enter button을 이용해 시간을 저장 할 수 있고, 해당시간에 led가 빛난다. ... 추가 기능은 알람과 세계시계를 선택했으며, 성공하지 못했다.1. 구현 내용 및 Block-diagram과 동작 개요도가. ... -알람 기능 : 원하는 시간을 저장하면, 해당 시간에 led가 빛난다.-세계 시계 기능 : 뉴욕(NY), 시드니(SYD), 두바이(DUB) 시간을 알 수 있다.나.
    리포트 | 8페이지 | 1,000원 | 등록일 2013.09.09
  • [논리회로실험] 실험11. 디지털 클락
    알람이나 타이머 같은 기능은 없이 순수하게 시간의 흐름만 확인할 수 있는 시계이다. 시계는 7-segment display를 통해 확인한다. ... VHDL을 이용하여 간단한 디지털 시계를 설계한다. ... 과 목 : 논리회로설계실험과 제 명 : 디지털 시계 설계담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.06.11.Introduction이번 실험에서는
    리포트 | 19페이지 | 2,000원 | 등록일 2014.03.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:09 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대