• 통큰쿠폰이벤트-통합
  • 통합검색(370)
  • 리포트(335)
  • 방송통신대(16)
  • 자기소개서(15)
  • 시험자료(3)
  • 논문(1)

"디지털 알람 시계" 검색결과 121-140 / 370건

  • 부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) 발표PPT포함
    부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) ->시간표시,시간설정, 알람, 스톱워치,요일표시중간, 최종발표PPT포함
    리포트 | 4,000원 | 등록일 2016.02.17 | 수정일 2019.06.10
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    느낀점VHDL Source설계 및 구현방법개 요우리주변에서 흔히 볼수있는 디지털 시계 구현Quartus를 이용한 VHDL의 이해카운터와 Decoder를 이용한 디지털시계 동작원리 ... 이해P r o j e c t 목 표알람 및 스탑워치설정이 희 락 제어부분설정박 대 근시계부분 설정 LED부분 설정유 진 호역 할 분 담Master Select logic시계 모드Mux7 ... seg ment스탑 워치 모드sw1 Sw2 sw3 clk내부구조설계 및 구현방법알람 모드알람 설정sw0시간 조절 버튼sw2sw1sw1sw0sw3sw1sw0알람 on/off 기능알
    리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • 인터넷의 문제점 - 생각하지 않는 사람들
    이용자인 것으로 조사되었다.133.열혈 텔레비전 시청자의 42%가 가장 집중적으로 인터넷을 사용하는 이들이었다.138.그 어느 때보다도 쉽고 빠른 검색을 가능케 한 링크 덕분에 디지털 ... 시계는 단순히 시간을 확인하는 것을 넘어 구분과 측정이라는 개념을 중요시하게 했고, 과학적 사고와 과학적 인간의 탄생을 도왔다.문자의 사용은 우리의 뇌구조를 바꾸었다. ... 직장인이 아니라 이메일을 확인하지 않아도 되지만, 핸드폰의 푸쉬 알람은 열심히 우리의 뇌를 흔들어대고 있기 때문이다.인터넷의 편리함 덕분에 정보를 더이상 기억할 필요가 없어졌다.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.05.30
  • SDU 디지털사회의 윤리 2019년 최신판 A+보장(책필요X)
    인터넷 중독 예방1) 인터넷 중독 예방 지침인터넷 중독 예방법- 목적 없는 인터넷 사용 지양- 컴퓨터 사용 시간/내용(내역) 기록- 컴퓨터 옆 알람시계 비치- 운동 및 취미 활동 갖기 ... 둔 것- 스마트폰의 위성항법장치(GPS), 카메라, 신용카드의 이용 패턴 등2) 웨어러블 컴퓨터 (Wearable Computer)- 안경, 시계 등과 같이 착용하거나 의복과 같이 ... 디지털 사회의 의미1) 디지털 사회의 의미- 디지털 기술과 네트워크가 핵심 기술이며, 정보를 가공, 처리, 유통하는 활동이 사회 및 경제의 중심이 되는 사회.- 유의어 : 정보화 사회
    시험자료 | 114페이지 | 7,000원 | 등록일 2019.07.24
  • 팀 프로젝트 디지털시계 설계 및 구현
    디지털시계에는 7세그먼트를 여러개 사용하는데 각 세그먼트마다 저항을 일곱개씩 달아줘야해서 조금 번거로웠다. ... 기판 2개를 빈 공간 없이 사용했던 것과 달리 디지털 손목시계는 그 작은 공간에 기판의 내용이 모두 들었다고 생각하니 놀라웠다. ... Digital Logic Circuit Experiment TP# Digital ClockGroup. 4Submission date. 2013. 11. 26Participants.
    리포트 | 11페이지 | 2,500원 | 등록일 2017.10.21 | 수정일 2017.12.27
  • 디지털 공학 설계프로젝트 팀별 최종 발표 PPT
    모드 : 기본모드(MODE1 = 11) - 시계와 일치시 LED 점등 구현 시간설정 모드 : 기본모드(MODE1 = 00) - 시, 분 증가 구현(MODE2, SET 사용)Digital ... 시간을 생성하는 블록 DATE : 디지털 시계의 날짜를 생성하는 블록 STOP : 디지털 시계에서 시간을 측정하는 기능을 하는 타이머 블록 SELECTOR : 각 블록에서 생성된 신호들을 ... DATE : 시계의 날짜를 생성하는 블록. 매 월마다 날짜가 다른것을 고려하였습니다. STOP : 디지털 시계에서 시간을 측정하는 기능을 하는 타이머 블록.
    리포트 | 9페이지 | 1,000원 | 등록일 2010.10.23
  • Swatch의 탄생과 성공. 스위스 시계 산업의 가치혁명.
    스위스 광고의 40% 차지 기술력 강조 Bulova : 이중 음향과 진동 알람 Seiko : 진동추 조절 Timex : 착용 가능한 정보 기기 인터넷 이용 등 다기능 시계 출시 품질 ... 선두에 이름 1974 년 $39.95 의 디지털 신모델로 세계 시장 진출 1980 년 일본 디지털 시계 시장의 10% 확보 $50 이하의 시계 시장에서 2 위 차지 Hattori Casio스위스 ... 시장 진출 1970 년대 중반의 집적회로 시장이 매우 매력적 부품 공급업체로 시작했다 시계 제조 공장에 투자 공격적인 가격으로 시계 출시 1978 년 IT 디지털 시계 매출액이 1
    리포트 | 24페이지 | 2,500원 | 등록일 2017.06.23
  • 스와치 마케팅전략 - 가치 혁신(Value innovation)
    인해 광고 비용이 천문학적 수준으로 증가 1990 년대에는 손목시계광고가 스위스 광고의 40% 차지 기술력 강조 Bulova : 이중 음향과 진동 알람 Seiko : 진동추 조절 ... 표시방식 LCD 쿼츠 시계 출시 1970 년대 후반 LCD 가 디지털 분야 석권 1984 년 전 세계 판매량의 75% 이상이 쿼츠 시계 기술 바탕 쿼츠 시계는 직접회로 사용 , 1 ... 시장 진출 1970 년대 중반 집적회로 시장이 매우 매력적 부품 공급업체로 시작했다 시계 제조 공장에 투자 공격적인 가격으로 시계 출시 1978 년 IT 디지털 시계 매출액이 1
    리포트 | 29페이지 | 2,000원 | 등록일 2017.09.27
  • IOT 개념 및 제품
    Sleek은 보기만 하면 일반 디지털 알람시계와 비슷한데 내장된 음성 인식 기능은 따라올 제품이 없습니다. ... 자동으로 줌인과 줌아웃을 하고, 어두운 곳도 최대한 밝기로 보여주는 시스코 7070은 내장된 애널리틱스 (분석 툴)까지 갖추고 있죠.4)ivee Sleek- 음성 인식 알람시계ivee ... 유저의 질문에 답을 해주고 뉴스와 날씨는 물론 알람도 맞출 수 있으며, 음악도 재생이 가능하죠.
    리포트 | 8페이지 | 1,000원 | 등록일 2016.11.02
  • 디지털시계와 stop watch
    **디지털 시계와 stopwatch 소스 **library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL ... 0);led : out std_logic_vector(7 downto 0));end digital_watch;architecture Behavioral of digital_watch ... ;entity digital_watch isPort ( clk : in std_logic;reset : in std_logic;push1 : in std_logic;push2 : in
    리포트 | 11페이지 | 2,000원 | 등록일 2013.12.18
  • 장애아동 일상생활 지원 보조공학 기기 조사
    알람시계적 용 대 상(장애, 연령 등)청각장애인, 소리를 들을 수 없는 상황에서의 비장애인사 용 방 법보통 시계처럼 시계역할을 하고 알람기능을 설정해두면 설정한 시간에 진동이 울려서 ... 무게가 가볍고 수동으로 움직이기 때문에 자주 충전하지 않아도 됨.구 입 처및제품정보제공처Nissin Medical / www.ablemall.co.kr (에이블몰)명 칭(5)진동디지털
    리포트 | 6페이지 | 1,500원 | 등록일 2016.03.23
  • VHDL-Final Project Digital Clock 만들기 <- A+
    하지만, 이러한 LCD interface 코드를 가지고, 시시각각 변하는 디지털 시계를 구현하라는 것은 다소 무리가 있어 보였다. ... LCD 에 시계가 표현되도록 설계한다.2. 1조 실험 계획표11/17 ~ 11/19 : Proposal of design for digital clock 토론 및 간략 로 우리가 사용하는 ... 설정 기능은 제외 하였으며, “시”와 “분” 만 사용하여 알람을 설정할 수 있도록 지정 하였다.기본 알람 화면 pm 03:45:00H18 AM/PM 조정L14 시조정L13 분조정알람
    리포트 | 27페이지 | 3,500원 | 등록일 2009.06.29
  • 실험4 프로젝트 보고서
    프로젝트 목표이 프로젝트인 8051 디지털시계는 J1, J2 는 아래 4가지 동작 모드 각 작동하는 디지털시계이다. ... 다만 회로도에서 6핀짜리 소켓의 쓰임새가 궁금했는데 디지털 시계상에서는 역할이 없는 것 같다. 나의 예상으로는 칩을 굽는 역할이 아닐까 싶다. ... 기능까지 있다. p3.4로 시간단위를 p3.5로 분단위를 조절가능하고 p3.2를 눌러 알람을 설정 후 p3.3을 눌러 save하는 방식에 알람이 있다.
    리포트 | 26페이지 | 2,500원 | 등록일 2015.12.25
  • 2014 하반기 NHN엔터테인먼트 합격 자기소개서
    [C언어와 어셈블리어 - 디지털 시계]브레드판에 회로설계를 하고 C언어와 어셈블리어를 사용하여 디지털시계를 설계하였습니다. 8051kit를 CPU로 사용하고 이를 이용하여 브레드판에 ... [C언어와 어셈블리어 - 디지털 시계]브레드판에 회로설계를 하고 C언어와 어셈블리어를 사용하여 디지털시계를 설계하였습니다. 8051kit를 CPU로 사용하고 이를 이용하여 브레드판에 ... 더 나아가 7-SEGMENT를 활용하여 디지털시계를 구현하면서 더 깊은 이해를 하였습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2015.02.27
  • 보조공학기에 관한 고찰 리포트 할인자료
    : 진동 디지털 알람시계▶ 소리를 듣지 못하는 사람에게 설정된 알람 시간 정보를 진동으로 변환하여 제공하는 보조 공학 기기이다.6) 영상전화기 : 비쥬폰 (CIP-6500)▶ 청각 ... 및 언어장애인과 의사소통을 가능하게 하는 디지털 보청 기능 내장으로 영상통화를 통해 수화로 상대방과 실시간으로 의사를 전달할 수 있도록 도와주는 기기이다. ... 있어 듣는 것은 힘이 들지만 빛(시각) 및 진동(촉각)을 통한 정보가 인지 가능한 사람에 한하여 청각 신호를 시각, 촉각(빛, 진동)의 신호로 변환하여 표시해주는 기기이다.5) 진동시계
    리포트 | 26페이지 | 3,000원 (30%↓) 2100원 | 등록일 2017.09.28
  • 2015년 상반기 현대자동차 인턴 서류 합격 자기소개서
    프로젝트는 win8051키트를 사용하여 다기능 디지털시계를 만드는 것이었습니다. ... 저희 조의 전략은 디지털 LCD 시계를 만들고 연도, 월, 일, 요일, 시, 분, 초를 표시하며 인터럽트를 사용하여 커서를 이용할 수 있고 시간변경기능을 추가하였으며, 포트다이오드와 ... 점점 크고 작은 문제들이 해결되자 모든 조원들은 감격하여 조금 더 시간을 투자해 정시 알람 기능까지 만들어 프로젝트 결과물을 제출하였고, 교수님께 좋은 평가를 받을 수 있었습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2016.05.28 | 수정일 2016.08.24
  • 디지털 논리회로이용한 시계설계
    추가적으로 알람 기능, 오전/오후/요일 표시기능을 갖는 시계를 구현하는데 최종목표로 잡았다.2. 본 론A. ... 및 시조정 회로 설계디지털 시계의 가장 기본이 되는 부분이다. ... 세부적으로 디지털 시계 기능, 스탑와치 기능, 시간조절 기능을 갖는 시계를 설계해보고 그 동작과정을 알아 보았다.
    리포트 | 16페이지 | 2,500원 | 등록일 2008.12.02
  • 실험4 프로젝트 보고서 ( 소스 해설 필수자료 ) 직접 작성 마이크로컨트롤러 마이크로프로세서 타이머 시계
    디지털시계의 모드설정과 원리디지털시계의 모드를 결정하는 핀은 p3.0과 p3.1에 연결되어 있다. ... 디지털 시계의 특징은 스위치를 이용하여 ON과 OFF의 상태에 따라 다른 기능을 하는 시계가 된다는 것이다. 회로를 완성하고 C코드를 분석하면서 디지털시계의 원리를 알아본다.2. ... 디지털시계의 모드설정과 원리1) 12시간 초 단위 표시 시계2) 1/100초 단위의 스탑워치3) 펄스 UP/DOWN 카운터4) QUADRATURE COUNTER3. 회로도4.
    리포트 | 29페이지 | 3,500원 | 등록일 2014.12.16
  • 애플워치(Apple Watch)에 대한 이해 (Apple watch,애플,애플워치,애플워치 특징,애플워치 기능,애플워치 성공전략)
    호딩키 사이트를 운영하고 있는 시계 마니아 벤자민 클라이머는 자신의 사이트에서 “애플워치의 전반적인 디자인 수준은 350달러 대에서 디지털과 아날로그시계를 막론하고 다른 모든 시계들을 ... 스마트폰과 연동해 전화를 받고, 문자메시지와 이메일을 주고받을 수 있으며, 알람 메시지를 확인하고 처리할 수 있다. ... 38mm와 48mm 각각 사이즈가 같은 시계줄이라면 모델에 상관 없이 서로 교체할 수 있다.5) 스크린 샷이 가능디지털 크라운과 크라운 아래 버튼을 동시에 누르면 화면이 반짝하면서
    리포트 | 9페이지 | 2,500원 | 등록일 2015.04.13
  • 전전컴실험Ⅱ 06반 제15주 Project [최종보고서] 전자시계, digital watch
    -알람 시계 기능 : bus와 enter button을 이용해 시간을 저장 할 수 있고, 해당시간에 led가 빛난다. ... 추가 기능은 알람과 세계시계를 선택했으며, 성공하지 못했다.1. 구현 내용 및 Block-diagram과 동작 개요도가. ... -알람 기능 : 원하는 시간을 저장하면, 해당 시간에 led가 빛난다.-세계 시계 기능 : 뉴욕(NY), 시드니(SYD), 두바이(DUB) 시간을 알 수 있다.나.
    리포트 | 8페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:07 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대