• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(447)
  • 리포트(446)
  • 시험자료(1)

"아주대학교 논리회로실험" 검색결과 81-100 / 447건

  • 아주대학교 논리회로실험 실험3 예비보고서
    이용하여 전단계에게 빌려준 1(전단계에서는 1+1에 해당) 고려한 두 비트의 뺄셈을 수행하는 논리회로를 구성한다.빌림수 입력을 취급하기 위해 변수 A,B에 추가로 C의 입력이 한 ... 디지털 시스템의 기본 요소인 가산기와 감산기의 기본 구조 및 동작원리를 이해한다.2) 실험이론2‘s-complement representation실험3의 사칙연산은 2‘s-complement ... 표현하는 회로를 구성한다.반가산기 회로 2개를 이용하여 전가산기 회로를 구성한다.오른쪽 사진에서 첫 번째 계산(빨간색 박스)의 경우에는 항상C _{i`n`}이 0이므로 반가산기로도
    리포트 | 7페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • 아주대학교 논리회로실험 실험4 예비보고서
    +511010+5010110+5+50111☞ Truth Table을 살펴보면 앞선 실험과 다른 결과가 나온 것으로 보이는 이유는 앞선 실험의 구성 회로는 입력 값 D에 H를 주었을 ... 실험과정 및 예상결과ⅰ) Multiplexer☞ Enable 입력을 갖는 4x1 멀티플렉서를 74HC20과 74HC04를 이용하여 다음 회로와 같이 구성한다. ... 때 선택적인 출력에 H가 나오는 것이라 예상되며 후자의 실험에서의 구성 회로는 입력 값 D에 L를 주었을 때 선택적인 출력에 L가 나오는 것이라 예상된다.5.
    리포트 | 10페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • 아주대학교 논리회로실험 실험6 예비보고서
    실험목적여러 종류의 filp-flop을 구성하고 그 동작 특성을 알아본다.2. 실험이론래치와 플립플롭은 대부분의 순차 회로를 구성하는 기본적인 블록이다. ... 위의 그림은 플립플롭의 기능 테이블과 논리 심볼이다.마스터/슬레이브 S-R 플립플롭S-R 래치는 set나 reset하는 제어 비트가 독립적인 조건을 가지는 경우 ‘제어’응용에 아주 ... 조합논리회로에 비해 플립플롭은 이전상태를 계속 유지하여 저장한다.
    리포트 | 13페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • 아주대학교 논리회로실험 실험8 예비보고서
    실험이론카운터카운터라는 이름은 그림과 같이 상태도가 하나의 사이클을 포함하는모든 클럭드 순차 회로에 일반적으로 사용된다. ... 관해 실험하고 그 동작원리를 이해2. ... 이 문제는 각 EN 입력을 전용의 AND 게이트로 구동하여 1 수준 논리로 만듦으로써 두 번째 그림에서 제거 되었다.
    리포트 | 9페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • 아주대학교 논리회로실험 실험10 예비보고서
    : ADC① 실험 1회로의 출력에 아래와 같은 비교기를 부가한다. ... 실험목적D/A와 A/D 변환기(converters)회로의 구성과 동작 원리에 대해 이해하고, 응용 능력을 키운다. ... 실험과정실험1 : DAC① 다음과 같은 회로를 구성한다.② Single pulse clock으로 single pulse를 가하여 D/A converter의 출력을 측정한다.③ 주파수
    리포트 | 10페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • 아주논리회로실험 설계 프로젝트 결과보고서
    실험 진행 순서- ALTERA 프로그램을 사용하여 Clock Dividirol Part, 7-segment power control Part의 회로를 모두 구성한다.- 구성된 각 파트를 ... 실험 준비물 & DataSheetQuartus Ⅱ 64-bit, DEO-NanoFND 1개[실험에 쓰이는 IC의 Data Sheet]7448 (BCD To 7-Segment)7490 ... 실험 목적- FPGA를 이용하여 Up ? Down Counter를 설계한다.? 작동 원리 설명1.
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • 아주논리회로실험 실험2 CMOS회로의 전기적 특성 예비보고서
    CMOS회로의 전기적 특성실험목적CMOS 회로의 전기적 특성 이해실험이론Logic levels & DC noise margins논리 소자의 logic level 판정 방식논리소자는 ... 사회적 책임을 다하는 엔지니어로 성장시킨다.나는 위 교육목표를 숙지하여 공학교육인증을 이수하는데 최선을 다할 것을 서약합니다.학 부: 전자공학부제출일: 2015/03/20과목명: 논리회로실험교수명 ... 스윙과 잡음여유가 최대가 된다.- 스위칭 특성은 입력신호의 변화에 대한 회로의 반응속도를 나타내며, 부하 커패시턴스의 충전/방전에 소요되는 시간에 의해 결정된다.실험방법실험 주의사항74HC04N
    리포트 | 10페이지 | 1,000원 | 등록일 2016.09.21
  • 아주논리회로실험 실험1 basic gates 예비보고서
    논리회로입문』. 서울 : 영한출판사, 2002 ... 사회적 책임을 다하는 엔지니어로 성장시킨다.나는 위 교육목표를 숙지하여 공학교육인증을 이수하는데 최선을 다할 것을 서약합니다.학 부: 전자공학부제출일: 2015/03/13과목명: 논리회로실험교수명 ... 드모르간에 의해 만들어진 정리는 쌍대성의 원리를 사용한다.1. AND 함수의 보수는 각각의 입력 변수에 보수가 취해진 OR 함수이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2016.07.20 | 수정일 2016.09.21
  • 아주논리회로실험 실험4 예비보고서 Multiplexer & Demultiplexer
    사회적 책임을 다하는 엔지니어로 성장시킨다.나는 위 교육목표를 숙지하여 공학교육인증을 이수하는데 최선을 다할 것을 서약합니다.학 부: 전자공학부제출일: 2015/04/03과목명: 논리회로실험교수명 ... 익히고 실험을 통해 실제 동작을 확인한다.실험이론멀티플렉서 (Multiplexer)여러 개의 입력선 중에서 하나를 선택하여 단일 출력선으로 연결하는 조합회로이다. ... : 이정원분 반: 5조학 번:성 명:실험 4Multiplexer & Demultiplexer실험목적멀티플렉서(Multiplexer)와 디멀티플렉서(Demultiplexer)의 작동원리를
    리포트 | 5페이지 | 1,000원 | 등록일 2016.12.24
  • [A급자료] 아주논리회로실험 Quiz대비자료
    1. 다음 그림은 CMOS의 입력 전압 값의 변화를 나타낸 것이다. 아래 그림에 CMOS의 출력이 High, Low, Abnormal 상태가 되는 구간을 표시하여라.(단, VCC= 5V, VIHmin= 3.5V, VILmax=1.5V, GND=0V이다)GND(=0)
    시험자료 | 3페이지 | 3,500원 | 등록일 2016.07.09
  • 아주논리회로실험 5 예비보고서 Decoder & Encoder
    사회적 책임을 다하는 엔지니어로 성장시킨다.나는 위 교육목표를 숙지하여 공학교육인증을 이수하는데 최선을 다할 것을 서약합니다.학 부: 전자공학부제출일: 2015/04/10과목명: 논리회로실험교수명 ... %A4%80/2014%EA%B8%B0%EC%B4%88%EC%A0%84%EC%9E%90%ED%95%99%EC%8B%A4%ED%97%981/Decoder_and_Encoder.pdf.논리회로실험 ... 및 예상결과실험1 2X4 DECODER위 회로를 구성하고 모든 입력에 대하여 결과 값을 확인한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2016.12.24
  • 아주논리회로실험 실험결과1 Basic Gates
    우선 이 실험논리회로실험 수업의 첫 실험으로 실험가운데 많은 어려움이 있었지만대부분의 실험이 예측했던 대로 Pspice simulation 값과 일치하는 결과를 얻어 만족할 만 ... OR, NAND, NOR gate에 대해서도 위 실험(1)과 같이 행하여 각각의 truth table을 작성하라. ... 그래서 하나로 모이는 출력부를 또 다른 논리 GATE 의 입력부로 두어야 한다.
    리포트 | 12페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 아주논리회로실험 실험예비1 Basic Gates
    따라서 이 Open collector 출력에서는 직접적으로 H, L의 논리 레벨이나 기타 출력을 얻을 수 없고 다른 회로에 종속되어서 어떤 값을 취하거나 신호를 전달 할 수 있게 된다 ... (4)에 대해서도 (2)와 같은 과정을 반복하라. ... 실험 1.
    리포트 | 7페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 아주논리회로실험 counter 예비
    논리회로 실험 예비보고서실험8. Counter1. 실험 목적- 카운터의 동작원리와 특성을 이해- 동기식 카운터와 비동기식 카운터에 대해 이해- 2진 카운터에 대해 이해2. ... 카운터는 일정한 반복 출력순서를 발생하기 위한 순차논리회로이다. ... ->동기식과 비동기식 카운터의 차이동기식 : 회로가 좀 더 복잡하지만 Time delay가 발생하지 않는다비동기식 : 회로는 간단하지만 Time delay가 발생한다.즉, 비동기식/
    리포트 | 5페이지 | 1,000원 | 등록일 2013.11.29
  • 아주논리회로실험 프로젝트 FPGA로 Stop Watch 만들기
    J와 K가 모두 1인 때를 제외하고는R-S F/F의 동작과 똑같다.3) 래치 (D Latch): 디지털 논리회로에 있어서 Latch는 하나 이상의 비트를 저장하기 위한 디지털 논리회로를 ... 대한 그림 및 Block diagram2) FPGA 보드의 확장 연결: 보드 정면 아래쪽에 위치하는 JP2 커넥티를 플랫케이블(40P)를 이용하여 확장보드로써 브레드보드에 연결하여 실험을 ... 1번으로 하고 싶은 쪽과 커넥터의 삼각형 표시를 맞추어서 조립해야 한다.연결보드 구성도연결보드와 빵판 연결가상도: POWER(전원공급기) 대신 USB 전원(5V 공급)을 이용하여 실험해야
    리포트 | 15페이지 | 5,000원 | 등록일 2015.03.12
  • 아주논리회로 실험 래치와 플립플롭 예비
    논리회로 실험 예비보고서실험6. 래치와 플립플롭1. ... 순서논리회로의 기본 구성요소이다. ... 플립플롭은 내부가 논리 회로로 구성되어 있기 때문에 논리 회로에 준하는 빠른 동작속도를 얻을 수 있다.- R-S F/F (Reset-Set) : R-S 래치 회로에 클럭 신호를 추가
    리포트 | 3페이지 | 1,000원 | 등록일 2013.11.29
  • 아주논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    있어서 Latch는 하나 이상의 비트를 저장하기 위한 디지털 논리회로를 말한다. ... 않다가 카운팅을 멈추면 비로소 1의 값을 가지게 되어 그 때 reset 버튼을 누르면 둘 다 1의 값을 가지게 되어 clear 단자가 작동한다.3) 래치 (D Latch): 디지털 논리회로에 ... 대한 그림 및 Block diagram2) FPGA 보드의 확장 연결: 보드 정면 아래쪽에 위치하는 JP2 커넥티를 플랫케이블(40P)를 이용하여 확장보드로써 브레드보드에 연결하여 실험
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • [아주대] 논리회로실험 9장 예비(RAM)
    발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2012.11.09과목명: 논리회로실험교수명 ... : 이기근 교수님학 번: 200920137성 명: 이대경Experiment 9 RAMOBJECTIVES- 실험을 통해 RAM의 목적과 동작원리에 대해 알고 회로에 직접 구현해봄으로써 ... 접지, Read는 선택한 상태에서 값을 읽는다.< 실험2 >위와 같이 RAM 소자를 이용하여 회로를 구성하고 데이터가 write되는 것과 read되는 것을 관찰한다.데이터 쓰기 :
    리포트 | 7페이지 | 2,000원 | 등록일 2013.09.25
  • [아주대] 논리회로실험 8장 예비(Counter)
    (=0)100010001A’B’(=1)010001000AB’(=2)001000100A’B(=3)000100010실험1에서는 위와 같은 결과를 얻을 수 있을 것이다.실험1의 회로는 앞 ... 2진수 결과 값을 관찰한다.< 실험 3-2 >위와 같이 74HC47을 이용하여 회로를 구성하고 7 segment display를 이용하여 실험3에서 얻은 0~9에 해당하는 2진수 결과 ... 시작되는 회로이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2013.09.25
  • 아주논리회로 실험 예비3 가산기 감산기 adder subtractor
    사회적 책임을 다하는 엔지니어로 성장시킨다.나는 위 교육목표를 숙지하여 공학교육인증을 이수하는데 최선을 다할 것을 서약합니다.학 부: 전자공학부제출일: 2015/03/27과목명: 논리회로실험교수명 ... : 이정원분 반: 5조학 번:성 명:실험 3. ... 동작원리를 이해한다.실험이론가산기- 반가산기?
    리포트 | 8페이지 | 1,000원 | 등록일 2016.12.24
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:51 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대