• 통큰쿠폰이벤트-통합
  • 통합검색(145)
  • 리포트(131)
  • 자기소개서(6)
  • 시험자료(4)
  • 방송통신대(3)
  • 논문(1)

"1bit ALU 설계 구현" 검색결과 81-100 / 145건

  • [디지털시스템실험(Verilog)] Memory Controller 예비보고서
    size데이터의 size를 나타낸다.size가 0이면, 1byte, 즉 8bit를 나타낸다. ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 예비 보고서학부 : 학번 / 이름 :실험조 : 실험일 :실험제목PICO Processor - Memory ... 이 때 가능한 beb값은 0111, 1011, 1101, 1110 이다.size가 1이면, half word, 즉 16bit를 나타낸다.
    리포트 | 2페이지 | 1,000원 | 등록일 2011.10.05
  • [디지털시스템실험(Verilog)] Memory Controller 결과보고서
    주석에서 나타낸 extend part가 rdata의 sign extention을 구현하는 부분이다.구현 방식은 ALU의 extend 구현과 같다. byte의 경우 extention이 ... 1byte, 즉 8bit를 나타낸다. ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 결과 보고서학부 : 학번 / 이름 :실험조 : 실험일 :실험제목PICO Processor - Memory
    리포트 | 3페이지 | 2,000원 | 등록일 2011.10.05
  • (방송통신대 컴퓨터의이해) 마이크로프로세서 발전과정과 컴퓨터 산업에 기여한 점 그리고 최신동향
    이후 인텔은 1969년 1Kbits(128바이트)의 용량을 갖는 메모리의 개발하고, 여기서 아이디어를 얻어 하나의 칩에 여러 가지 기능을 구현한 IC를 개발했다. ... 산술 논리 연산 장치(ALU), 레지스터, 프로그램 카운터, 명령 해독기, 제어 회로 등 CPU의 모든 기능이 1개의 LSI 칩에 조립되어 있으므로, 여기에 기억 장치와 전원공급장치 ... 즉 연산속도는 최초의 4비트에서 오늘날의 64비트로 16배 증가했으며, 설계방식 역시 CISC와 달리 CPU 명령어의 개수를 줄여 하드웨어 구조를 좀 더 간단하게 만드는 RISC 방식으로
    방송통신대 | 6페이지 | 3,000원 | 등록일 2013.04.17 | 수정일 2021.01.20
  • Verilog를 이용한 레지스터(Register) 와 데이터패스(Datapath) 구현 (컴퓨터 아키텍쳐 실습)
    내용TSC instruction set을 처리할 수 있는 16bit-CPU의 datapath(ALU 및 Register) 부분을 Verilog를 이용하여 구현한다.datapath 부분만으로는 ... Computer Architecture LabLab 05: Register and Datapath1. 실험 목표CPU를 구성하는 요소 중 Datapath를 이해하고 구현한다.2. ... CPU의 micro architecture를 정의하고 설계한다.2. Active-HDL을 실행하고 새 workspace를 생성한다.3.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.03.08
  • [디지털시스템실험(Verilog)] Arithmetic Logical Unit(ALU) 결과보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 결과 보고서학부 : 학번 / 이름 :실험조 : 실험일 :실험제목ALU - Arithmetic Logical ... 이는 shifter 모듈을 설계할 때 모듈 내적으로 이미 구현되었으므로, 여기에서는 select bit만 input으로 입력하여 주면 된다. alusign을 select bit으로 ... 이 output의 구현은, 뺄셈 연산의 결과값의 각 bit들을 OR게이트를 통과시켜 얻Zero : 을 수 있다.
    리포트 | 6페이지 | 2,000원 | 등록일 2011.10.05
  • 전산학개론 내용요약정리
    장치 : 주기억 장치에 기억되는 자료의 기본 단위 - ‘비트’ 1 똔느 0으로 저장.8비트 = 1바이트 = 1문자기억용량 - 단위 : Mbyte(220), Gbyte(230) 등* ... 0 또는 1로 설정* 산술 논리 연산 장치(ALU) : 실제의 데이터 처리가 이루어지는 곳가감승제의 산술 연산과 NOT, AND, OR, XOR, 수의 크기를 비교 판단* 주기억 ... W Leibniz)곱셈 기계 만들었지만 불안정* 오늘날 전자 계산기의 기본 원리와 같은 기계 설계 -19세기 영국 - 바베지(C.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2020.11.01
  • 연산논리장치
    여기서 CPU 설계사항에 의해 ALU 기능에 대해 변화가 생긴다. 16개의 논리연산을 수행하도록 설계한다면 ALU는 고속으로 동작하도록 구현할 수 있겠지만, 많은 게이트를 포함하고 ... 하지만 많이 쓰이는 연산에 대해 회로를 추가해줌으로써 산술연산 기능을 좀더 강화 할 수 있다.④ 4비트 ALU의 구조- 이번 실험에서 구현해야 할 4비트 ALU의 입출력을 살펴보면 ... 두개의 4비트 입력 A(A3,A2,A1,A0),B(B3,B2,B1,B0) 와 4비트 출력 F(F3,F2,F1,F0)가 있고 여기에 입력값에 따른 연산 동작을 결정하는 4비트 제어 신호
    리포트 | 5페이지 | 1,000원 | 등록일 2009.10.31
  • 32비트 ALU Verilog설계
    ALU결과 보고서1.실습목표CPU에서 산술 연산 논리장치인 ALU(Arithmetic Logic Unit)을 설계하고 검증한다.2.이론ALU(산술 논리장치)는 두 숫자의 산술연산(덧셈 ... A와 B를 비교할 때 A-B를 수행한 결과 N=1이면 AB이다.ALU회로도3.구현 내용구분이름비트수설명Inputa32bitoperandb32bitoperandop3bitopcodeoutputresult32bitalu ... binstancexnor032bit a xnor binstancemux88 to 1 muxVerilog Codemodule alu(a,b,op,result,n,z,c,v);//alu모듈
    리포트 | 34페이지 | 1,500원 | 등록일 2010.12.21
  • 마이크로컨트롤러
    ARM칩을 이용해 회로를 설계할 때, 8비트나 16비트설계를 하더라도 실제적으로 ARM코어 내부적으로 동작할 때는 32비트로 동작한다. ... 구성 가능한 레벨 1 캐시를 사용한 가상 메모리 시스템 아키텍처, 벡터 부동 소수점 보조 프로세서, 프로그램 가능한 인터럽트 제어 및 배포가 구현되어 있으며 AMBA 3 AXI 인터페이스를 ... ARM칩을 이용해 메모리를 연결하는 경우 8비트, 16비트 또는 32비트설계를 한다.?
    리포트 | 4페이지 | 1,000원 | 등록일 2010.12.19
  • [컴퓨터의이해] 마이크로프로세서의 발전과정과 컴퓨터산업에 기여한 점 그리고 최신동향/매트릭스 코드의 하나인 QR코드조사와 본인의 QR코드만들기-마이크로프로세서발전과정-
    한글표현은 한자 1문자를 15Bit에서 효율적으로 표현할 수 있기 때문에 다른 2차원 코드에 비해 20%이상 많은 정보를 담을 수가 있다.넷째, 정 사각형 형태의 QR코드는 좁고 긴 ... /8bit)에서 최대 약 30%가 복구 가능하다. ... 마이크로프로세서 중에는 제어용으로 사용하기 편리하게 여러 가지 장치를 한 개의 칩 속에 구성한 단일 칩 마이크로프로세서가 있으며 그 중 8비트와 16비트가 많이 쓰이고 있다.1990년대
    리포트 | 14페이지 | 3,000원 | 등록일 2013.03.15
  • 32bit instruction RTL system의 VHDL 구성 및 simulation (코드포함)
    1. 설계목적- 32bit instruction RTL system을 VHDL로 구성하고 simulation을 통해 RTL system의 구현을 확인해 본다.2. ... => ALU_en,ALU_S => ALU_S,A_en => A_en,B_en => B_en,of_dest => of_dest,of_s1 => of_s1,of_s2 => of_s2, ... Data flow map1) 이론a) Instruction FetchIBus main_clk,reset => PC_reset_b,o => A_data );RTL_LatchB : Latch_BPort
    리포트 | 27페이지 | 5,000원 | 등록일 2010.11.05 | 수정일 2024.01.04
  • 디지털 논리 실험, 산술 논리 회로 실험 예비 보고서
    설계한다면 ALU는 고속으로 동작하도록 구현할 수 있겠지만, 많은 게이트를 포함하고 배선도 증가하므로 면적이 늘어나게 된다. ... 하지만 많이 쓰이는 연산에 대해 회로를 추가해줌으로써 산술연산 기능을 좀더 강화할 수 있다.(4) 4비트 ALU의 구조 : 본 실험에서 구현해야 할 4비트 ALU의 입출력은 다음과 ... *************3614715816표 1. 2입력 1출력 논리회로의 16개 논리 연산여기서 CPU의 설계사양에 의해 ALU의 기능에 대해 변화가 생긴다. 16개의논리연산을 수행하도록
    리포트 | 5페이지 | 1,500원 | 등록일 2009.07.18
  • kpu 컴퓨터구조 과제 및 보고서
    -> 256가지 < 2^n(bit수) >>(설명 : 명령어에서 연산 코드 부분이 n비트이면 2^n개의 연산을 수행할 수 있다.)->>256가지23. ... 프로세서 클록 주파수가 4GHz이고, 명령어당 평균 실행 클록 수는 1이며, 조직은 구조에서 정의한 속성들을 구현하는 방법을 말한다. (프로그래머에게 안보임)4. ... 명령어 형식을 설계하는 데 있어서 오퍼랜드가 차지하는 필드를 1개만 두려고 한다. 이를 위해서는 구조적으로 어떤 지원이 있어야 하는가?
    리포트 | 24페이지 | 1,000원 | 등록일 2012.01.07
  • [전기전자기초실험] 연산 회로 설계 실험 결과보고서
    verilog HDL 코드4비트 ALU Timing Analyzer- 4비트 ALU의 결과(논리연산)동작 제어 신호A=0101 / B=1010A=1110 / B=0111S3S2S1S0 ... - 4비트 덧셈기 / 뺄셈기의 진리표입 력출 력Add/SubtractS3S2S1S0오버플로우34+011102-3-01010-42+1110074+00111-2-3-000104비트 ALU ... 따라서 위의 회로(4비트 ALU)와 비교해 보았을 때 캐리 예측 가산기는 더 빠른 가산을 지원하나 입력 값이 점점 커질 경우에는 로직이 복잡해져 구현하기 어렵다.② 4비트 덧셈기/뺄셈기의
    리포트 | 8페이지 | 1,000원 | 등록일 2009.07.29
  • modelsim을 이용한 해밍코드 소스
    0 0 1 1C 0 1 0 0 1 0 1D 0 1 1 0 1 1 0E 1 0 0 1 0 0 1F 1 0 1 1 0 1 0G 1 1 0 1 1 0 0H 1 1 1 1 1 1 1만약 1비트 ... 과제 진척 개요날짜내용5/3~5/31설계과제 주제 선정5/17설계 계획서 작성 및 검토5/18~5/21설계 코드 작성 및 Simulation 분석5/21~5/31최종보고서 작성 및 ... Error 이다.그럼 modelsim 으로 구현한 소스를 살펴본다면 아래와 같다.
    리포트 | 13페이지 | 2,000원 | 등록일 2010.12.10
  • 이용석 교수님 MIPS 설계 프로젝트
    동작을 결정하는 코드로 이번 설계에서는 add, sub 두가지의 경우만 구현하였다. ... [1] main 함수설계순서를 각 모듈부터 만들라는 힌트를 받았지만 전체 구성을 짜보는 것이 좋다고 생각하여 먼저 위의 block diagram을 먼저 만들었다. ... PC값은 Instruction memory 배열의 주소 값이 되고, IM은 사용하는 이번 프로젝트에서 사용하는 명령어 종류가 별로 없기 때문에 10개의 32bit 공간을 만들어 사용했다.위의
    리포트 | 6페이지 | 3,000원 | 등록일 2010.06.27
  • ★합격자소서★ 하이닉스 자기소개서
    간단한 Flip-flip, Multiplexer의 이론부터 시작하여 직접 32비트 CPU 설계, 더 나아가 64비트 CPU 설계를 할 첫 기회가 있었습니다. ... 기본적인 사칙연산부터 시작된 ALU, Control Unit, Cache와 Registry, I/O Unit, Memory Unit 등의 Unit과 Module 디자인은 저에게 즐거움 ... 전자설계 및 실험의 Filter 디자인, 전자디자인 랩에서의 Oscilloscope의 H/W, S/W 구현에 있어서도 능동적이고 열정적으로 실험에 참여할 수 있도록 저를 끊임없이 이끌어주는
    자기소개서 | 3페이지 | 3,000원 | 등록일 2011.05.14
  • 16bit 컴퓨터 설계
    ALU는 IC칩을 사용하지 않고 게이트를 이용하여 구현하였고, 레지스터 1개를 사용하여서 4비트 밖에 없으므로 ALU는 4개를 만들었습니다. ... AC 회로16비트구현하기 위해서는 레지스터를 4개를 이용해야 하지만 편의상 IC칩 74163 1개를 이용해서 레지스터 회로를 구현하였습니다. ... .▶ DR 회로16비트구현하기 위해서는 레지스터를 4개를 이용해야 하지만 편의상 IC칩 74163 1개를 이용해서 레지스터 회로를 구현하였습니다.
    리포트 | 23페이지 | 3,000원 | 등록일 2009.07.24
  • verilog 4bit alu
    컴퓨터 응용설계4bit ALU○문제 정의를 위한 명세(specification) 및 설계 범위4bit의 8가지 산술과 4가지 논리 연산을하는 ALU.-> A,B 4bit를 각각 입력받고 ... BXOR111xG=NOT(1의보수)○설계 회로와 구현한 프로그램 소스(verilog HDL or VHDL codes)module arth(A,B,S0,S1,X,Y);input A,B ... 2bit에따라 4개중 하나의 연산의 종류가 결정된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.23
  • 16bit CPU 설계
    ALU는 IC칩을 사용하지 않고 게이트를 이용하여 구현하였고, 레지스터 1개를 사용하여서 4비트 밖에 없으므로 ALU는 4개를 만들었습니다. ... - BUN 명령: direct & indirect addressing → 인터럽트를 종료하고 복귀기본컴퓨터의 HW 설계- 기본 컴퓨터의 하드웨어 구성 요소 -1) 16 비트의 4096워드를 ... AC 회로16비트구현하기 위해서는 레지스터를 4개를 이용해야 하지만 편의상 IC칩 74163 1개를 이용해서 레지스터 회로를 구현하였습니다.
    리포트 | 23페이지 | 4,000원 | 등록일 2009.07.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:45 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대