• 통큰쿠폰이벤트-통합
  • 통합검색(145)
  • 리포트(131)
  • 자기소개서(6)
  • 시험자료(4)
  • 방송통신대(3)
  • 논문(1)

"1bit ALU 설계 구현" 검색결과 121-140 / 145건

  • [시스템 구조]마이크로 프로그램된 제어
    명령어 수행- 멀티플렉서를 이용한 설계무조건 분기 명령어- 제어 메모리로 부터 분기 주소를 적재함으로써 구현- 상태 비트중 하나를 1로 고정시키고 이 비트를 선택조건부 분기..PAGE ... ..PAGE:1MICRO PROGRAMMED CONTROL컴퓨터 공학부C.P :..PAGE:2세부 목차제어 메모리주소 시퀀싱마이크로 프로그램의 예마이크로 명령어 형식제어장치의 설계참고 ... 메모리 참조 명령어ADD, BRANCH,STORE, EXCHANGE컴퓨터 명령어..PAGE:14마이크로 명령어 형식20비트 4개의 필드로 구성F1,F2,F3 필드 :컴퓨터의 마이크로
    리포트 | 24페이지 | 1,000원 | 등록일 2006.06.02
  • 4-bit 산술연산논리 회로구현 결과 맥스플러스
    4-bit 산술 연산 논리 회로 구현 결과{1.{Maxplus Ⅱ의 Graphic Editor를 사용하여 1비트 전가산기를 설계한 다음 컴파일 하고 심볼을 만든다.2. ... 그래서 4비트 전가산기를 4개의 전 가산기를 사용한다.3. 4비트 ALU설계하고 결과를 확인하라.1비트 ALU설계한 후 4비트로 연결한다. ... ALU에 대한 기능 및 동작 결과문제{1. 4비트 전가산기를 설계하고 시뮬레이션하여 결과를 확인하라.{2.
    리포트 | 10페이지 | 1,000원 | 등록일 2004.03.21 | 수정일 2014.08.20
  • [졸업작품]8051을 이용한 오실로스코프 제작 및 모니터 디스플레이
    서론CPU는 레지스터, ALU, 멀티플렉서, 디코더 등 여러 가지 디지털 기능을 수행하는 소자들을 조합해서 설계할 수 있다. ... 하드웨어 구현그림12. ... 내부에 2개의 12BIT DAC과 8BIT ADINPUT을 갖고 있다.3.
    리포트 | 7페이지 | 3,000원 | 등록일 2006.03.03
  • [공학]전자 오르간
    구동할 수 있는 출력 전력 절약 모드Pin ConfigurationPORT 38-bit bidirectional I/O port구조적 설계 연산 기능을 하는 ALU 부분, 직렬 통신을 ... 까지의 동작 주파수 128 8 Bit 내부 램 15개의 프로그램 가능한 I/O 2개의 16비트 타이머/카운터 6개의 인터럽트 소스 프로그램 할 수 있는 직렬 UART 직접 LED ... (serial port), 입출력 포트(I/O port), 클럭 발진기(clock generator), 타이머(timer)기타 ICKM62256A –(I)Series 32K x 8bit
    리포트 | 24페이지 | 1,500원 | 등록일 2006.10.16
  • [컴퓨터구조]컴퓨터 구조 및 설계
    연습문제 6.1번- [5] 만약 ALU 연산을 위한 시간이 2ns(그림 6.2참조)가 아니고 4ns라면 단일 사이클 구현을 파이프라인해서 얻을 수 있는 성능 증가는 어떻게 변화하는가 ... 명령어군명령어인출레지스터읽기ALU연산데이터접근레지스터쓰기전체시간lw2ns1ns2ns2ns1ns8nslw2ns1ns4ns2ns1ns10ns*************820222426lwALUlwALUlwALUlw표 ... 가상 페이지 번호(26bit)페이지 변위(14bit)4bit22bit4bit22bit실제 페이지 번호(22bit)페이지 변위(14bit)※ 2MB
    리포트 | 9페이지 | 1,000원 | 등록일 2005.06.23
  • FPGA를 이용한 4비트 ALU구현 및 검증 예비
    FPGA를 이용한 4비트 ALU구현 및 검증1.FPGA(Field Programmable Gate Array): 사용자가 프로그램을 할 수 있는 칩. ... FPGA는 기존 PLD에 비해 더욱 유연하고 용도가 많아서 완전한 디지털 시스템을 단일 IC칩으로 구현 할 수 있다.예비보고서1. ... 따라서 FPGA칩을 사용하여 칩으로 구현하기 이전에 결과값을 시뮬레이션하여 동작을 확인하는 작업이 점차 일반적이 되고 있다.
    리포트 | 1페이지 | 1,000원 | 등록일 2004.03.21 | 수정일 2014.08.20
  • 학습지도안(디지틀 공학 실험)
    배운 이러한 모든 것들을 종합하여 조합회로와 순차회로의 설계구현능력을 배양할 수 있다.2. ... 설명하고 회로를 구성하여 동작하도록한다.직류전원, 오실로스코프, 디지틀 멀티미터, AF 신호 발생기4H5.조합회로ⅡXOR게이트를 이용하여 비교기와 패리티검사기 회로 구성방법을 배운다.ALU ... 쉬프트 레지스터 카운터를 사용할수 있다.쉬프트레지스터 카운터 회로의 동작원리와 특성을 설명하고 측정결과를 확인하여 이해시킨다.직류전원, 오실로스코프, AF 신호발생기, 741954-bit
    리포트 | 7페이지 | 1,500원 | 등록일 2007.01.04
  • 컴퓨터구조론 8장 연습문제 풀이
    8-1. 그림 8-2와 같이 32비트짜리 16레지스터와 ALU, 그리고 목적지 디코더를 가진 버스 구조의 CPU가 있다.a. ... =6/27bits53618=32opcode=5/32bits8-14. ... 입출력 캐리를 포함하여, ALU에서 데이터를 위한 입력과 출력은 몇 개인가?32+32+1=65 데이터 입력, 32+1=33 데이터 출력e.
    리포트 | 6페이지 | 1,000원 | 등록일 2004.12.03
  • [전자공학] Network Processor ISA 구현 중간보고서
    이 중, exact matching 은 IP 주소가 32bit 으로 이루어져 있으므로, 232 개의 목록에 대한 비교를 필요로 하게 되고, 사실상 구현 불가능하다. ... 엔진은 독립적인 RISC 코어의 형태로서, 레지스터 파일과 32 비트 산술논리연산 유닛, 그리고 독자적인 명령어 세트를 가지고 있다. ... 그렇기 때문에 Network Processor 의 구현에서 이 Microengine 의 구현이 가장 중요한 이슈가 된다.Microengine 에는 패킷처리를 위한 전용 명령어 셋으로
    리포트 | 15페이지 | 5,000원 | 등록일 2004.12.03
  • CPU 이론
    논리적으로 구현한 것.- 논리연산은 3개의 연산자(논리합AND, 논리곱OR, 논리부정AND)로 구성.{{2 논리합 회로 - 논리합을 연산하는 회로로 OR회로라고도 함.{{3 논리곱 ... 결과를 레지스터 뱅크의 레지스터들 중 R0에 저장한다.4 멀티플렉서 - 레지스터 뱅크에 있는 M개의 레지스터 중 하나의 레지스터를 선택하는 조합 논리회로5 연산장치 - 연산장치(ALU ... 논리회로 구성.3) 순서 논리회로플립플롭은 1비트의 정보를 기억할 수 있는 기능을 한다.조합 논리회로에는 저장능력이 없다.순서논리회로에는 레지스터, 카운터, 쉬프터 등이 있다.4)
    리포트 | 4페이지 | 1,000원 | 등록일 2004.10.18
  • [asic] asic설계(sisc)
    1. 8-bit 마이크로 프로세서이번 프로젝트로 설계하는 마이크로프로세서는 기본적인 기능만이 가능하게 한 간단한 8-bit 마이크로 프로세서이다. ... ALU와 MUX를 구현했다. ... Add(010) : ALU_OUT
    리포트 | 17페이지 | 1,000원 | 등록일 2001.12.02
  • [전기전자실험] 마이크로프로세서보고서
    마이크로프로세서 : CPU(레지스터, ALU, 제어회로)에 해당하는 부분을 하나의 LSI 칩으로 집적시켜 구현한 소자(1) 역할1산술연산과 논리연산을 처리한다.2메모리와 입출력장치를 ... 개별 비트를 1로 설정하거나 0으로 지우는 bit중심의 명령어가 있다. bit를 AND, OR, XOR하거나 비트의 설정이나 지움여부에 따라 분기하는 명령어들도 있다.이러한 bit처리기능을 ... 여러 IC칩으로 설계한 CPU와는 다르게, 마이크로프로세서에서는 직접적으로 레지스터나 ALU 등과 외부 부품을 연결할 수가 없으며, 다만 이 칩의 단자를 통해서만 정보전달이 가능하다
    리포트 | 6페이지 | 1,000원 | 등록일 2002.11.15
  • [경영정보시스템] 중앙처리장치(CPU)의 세 가지 핵심 모듈(Module)을 제시하고, 이 모듈들의 역할을 간략히 설명하시오.
    연산 장치(Arithmetic and Logic Unit: ALU) - 연산 기능. ... 여기서 호프 박사는 탁상용 계산기에 쓰일 소형전자부품의 설계를 담당하게 되었다. 그 당시의 설계기술로 계산기의 여러 기능을 수행하기 위해서는 여러 개의 칩이 필요했다. ... 중앙처리장치의 세 가지 핵심모듈(1) 레지스터(Register)@ 레지스터의 장점- 작은 수의 비트로 기억된 정보에 신속하게 접근 가능- 메모리의 밴드 폭의 효율적 사용(짧은 인스트럭션의
    리포트 | 11페이지 | 1,000원 | 등록일 2004.11.16
  • [컴퓨터 구조론] cisc risc 비교 발표
    마이크로프로세서에 많은 수의 명령어를 구현하는 데는 엄청남 비용이 들고 칩 내 60% 이상의 트랜지스터가 명령어 디코더에 사용된다는 사실로부터 몇몇 설계자들은 명령어의 수를 줄이고 ... 장점 : 마이크로 명령어로 구성하여 작성하므로 쉽게 설계를 바꿀 수 있다. ... 대부분의 메인 프레임과 초창기의 미니 컴퓨터들 역시 CISC로 분류RISC의 출현 동기CISC의 문제점 명령어 비트 수가 길어져서 기억장치로부터 읽어오는데 여러 번의 인출 필요 다양한
    리포트 | 75페이지 | 1,000원 | 등록일 2005.05.27
  • [자격증]ESDP 표준교재 요약집
    점진적인 설계구현【CORDARTS 기반 임베디드 시스템 설계구현기법】기능중심 모델링실시간 자료흐름행동중심 모델링상태 전이도스케쥴, 가능성및 성능분석모듈설계구 현프로토타입 ... TCP/IP 프로토콜에서는 AF_INEF 지정sa_data[14] : 32비트인 IP 주소와 16비트인 포트번호가 들어감※ socka리 ... ESDP 요약지1. 임베디드 시스템의 정의1.1 임베디드 시스템이란 무엇인가?
    시험자료 | 45페이지 | 3,000원 | 등록일 2006.07.08
  • [데이터 베이스] 실시간 병렬 퍼지 시스템의 설계구현
    설계(7)병렬퍼지추론시스템(PFIS)FALU(Fuzzy ALU)시스템 설계(8)병렬퍼지추론시스템(PFIS)퍼지프로세서모듈(FPM)f0f1…….fmFuzzy Rule _Register ... 가능 마스터와 타겟 구현 가능버스의 성능비교132Mbyte/sec33Mhz, 32bit widePCI33Mbyte/sec8.33 Mhz, 32bit wideEISA8.33Mbyte ... 실시간 병렬 퍼지 시스템의 설계구현주제: 실시간 병렬 퍼지 시스템의 설계구현목차서 론 기초연구 시스템 설계 시스템 구현 실험 및 성능 비교 결론 및 향후 연구서론(연구배경
    리포트 | 22페이지 | 1,000원 | 등록일 2002.04.03 | 수정일 2022.06.05
  • [프로세서] 마이크로프로세서 1
    초기 시스템 설계- 시스템 사양 중 H/W와 S/W로 구현할 부분을 각각 설정(Trade-Offs)3. 기본 H/W 및 S/W 디자인4. ... 1.2 마이크로프로세서 시스템1.3 마이크로프로세서 시스템 설계과정1.4 응용분야마이크로프로세서..PAGE:41.1 마이크로컴퓨터란 무엇인가? ... 마이크로프로세서 시스템 설계마이크로프로세서..PAGE:31. 마이크로프로세서의 개요1.1 마이크로프로세서란 무엇인가?
    리포트 | 13페이지 | 1,000원 | 등록일 2002.09.28
  • 컴퓨터-개념.발달사.정보처리환경.하드웨어
    자료의 표현과 처리(1) 데이터의 구성 단위1) 비트(bit) : 컴퓨터 자료 표현의 최소 단위, Binary Digit의 약자로 0 또는 1을 표현2) 바이트(byte) : 8개의 ... 수 있음4) 에러 검출- 패리티 비트(Parity bit) : 한 비트의 에러를 검출할 수 있음- 해밍 코드(Hamming code) : 두 비트의 에러까지 검출할 수 있으면한 비트의 ... 있고 대형 컴퓨터에서 주로 사용3) 아스키(ASCII) 코드- 데이터 통신용으로 주로 사용하고 에러 검출을 위해 1BIT의 패리티 비트를덩붙이기도 함- 27(128)가지 문자를 표현할
    리포트 | 10페이지 | 1,000원 | 등록일 2004.11.25
  • [전자공학] 마이크로프로세서가 이용된 제품의 예
    이에 알파 -001은 이러한 문제점들을 보안하기 위해서 다음과 같이 작동한다.{1.개별적인 컴퓨터 보안 장치비밀번호 인가 방식으로 개별적인 보안을 구현한다. ... 또 16bit bus는 CPU와 주변 장치의 접속용으로도 사용하고 있다.{. RLAU그림의 왼쪽을 보면 ALU가 있다. ... 모든 레지스터들은 16비트 혹은 17비트(16+부호 비트)크기이다. 어떤 레지정된다.
    리포트 | 8페이지 | 2,000원 | 등록일 2003.10.07
  • [컴퓨터공학]컴퓨터의 구성
    *생산 가격이 비싸고 소비 전력이 높으며 설계구현시 많은 시간이 필요하다.*80286, 80386, 80486, Pentium CPU가 이에 해당된다. ... 연산장치와 제어장치로 구성*중앙처리장치의 기능컴퓨터에 기억되어 있는 정보의 검색문제의 처리 및 실행결과의 이용명령에 의한 연산기능 및 프로그램 흐름을 통제1) 산술논리 연산장치(ALU ... 컴퓨터의 구성컴퓨터의 구성하드웨어의 구성1.
    리포트 | 14페이지 | 1,000원 | 등록일 2003.06.14
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:42 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대