• 통큰쿠폰이벤트-통합
  • 통합검색(396)
  • 리포트(387)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7-segment/Decoder" 검색결과 81-100 / 396건

  • 기초실험 7segment 결과보고서
    7 Segment & Counter 결과 보고서실험 목적BDC -to-7 Segment Decoder와 7 Segment LED를 연결한다. ... 하나의 세그먼트는 디스플레이 모듈에서 세그먼트에 대응되는 입력에 논리 1이 인가 될 때 불이 켜진다.위 그림처럼 회로를 연결하면 된다.7segment 및 BCD to 7 Segment ... Decade Counter가 활용될 수 있는 다른 응용처를 제시한다.실험 이론7 segment indicator는 10진수 수 0에서 9까지 어느 하나를 표시하기 위해 이용된다.
    리포트 | 26페이지 | 2,000원 | 등록일 2022.04.23
  • 인코더와 디코더 회로 예비
    실험 제목인코더와 디코더 회로실험 목적Encoder와 Decoder의 기능을 익힌다.부호변환 회로의 설계방법을 익힌다.Seven-segment(숫자표시기)의 사용방법을 익힌다.실험 ... 수가 있지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기이다.예비과제BCD to 7-Segment 디코더에 대해 설명하라BCD to-7 segment 디코더는 BCD에서 ... (복호기)라고 한다.디코더(Decoder)디코더는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력 논리회로이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.21
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 12차 예비보고서
    Decoder와 7-segment의 사이에 330 Ω 저항을 삽입하여 7-segment의 각 세그먼트 허용전류를 초과하지 않도록 하였다.※ Stopwatch의 정상 동작을 위해서는 ... MC14511B를 BI = HIGH, LE = LOW, LT = HIGH로 연결해야한다. 7-segment가 common cathode이므로, V = LOW를 연결해야한다.2. 2자리 ... Active HIGH 출력을 가지는 MC14511B의 출력 단자를 Common Cathode 7-Segment LED에 연결한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2024.02.17
  • 서강대학교 디지털논리회로실험 레포트 3주차
    동작 원리를 이해한다.-7-segment decoder의 동작원리를 이해한다. ... 토의 및 결론이번 실험에서는 decoder및 encoder, 7-segment 등의 동작원리에 대해 배울 수 있었다. ... 그러면 G=0일 때와 G=1일 때가 위쪽만 살아있을 때와 아래쪽만 살아있을 때로 구분되어 4-to-16 decoder로 사용할 수 있다.4)7-segment display를 여러 개
    리포트 | 12페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 홍익대학교 전전 실험1 프로젝트 보고서
    Reset : 시스템을 reset하는 기능으로 Reset button을 누르면 ‘A’,‘B’,‘C’ 7-segment LED모두 0이 된다.- Select A,B : 7-segment ... 이 때 ‘B’ 7-segment LED는 변하지 않는다.- Input : 카운트를 하는 기능으로 Input Button을 누를 때마다 선택된 ‘A’ 또는 ‘B’ 7-segment LED가 ... 단, ‘A’와 ‘B’ 7-segment LED를 선택하는 순서에 상관없이 선택된 7-segment LED는 Input Button을 누를 때마다 1씩 증가하여야 한다.3.
    리포트 | 9페이지 | 3,000원 | 등록일 2020.12.25
  • [A+][예비레포트] 중앙대 아날로그 및 디지털 회로 설계실습 12. Stopwatch 설계
    이용해 회로를 완성하였다. 2자리 숫자 표시 회로와 3자리 숫자 표시 회로는 BCD 10진 카운터, Decoder, 7-segment를 각각 2개, 3개 추가해주면 구성할 수 있다 ... -1 ~ 12-4-4 에 필요한 회로 결선도를 그리시오. ... GND 연결 등의 기본적인 연결은 표시할 필요 없이 주요 부품과 주요 결선 부분만 표시하시오.Function Generator를 이용해 클락 신호를 만들어주었고 BCD 카운터 소자와 Decoder를
    리포트 | 1페이지 | 1,000원 | 등록일 2022.04.08
  • 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    이 값은 Dynamic 7-segment Decoder를 구성하는 코드를 똑같이 작성하여 값을 출력하게 하였다. ... 실습 3 점을 포함한 8비트 신호의 7-segment 설계앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에 작게 ... 또 load 변수를 통하여 in 값을 입력할 수 있다.여기서 주목해야할 점은 reg형 decode변수인데, 이 decode변수를 통해 카운터의 출력값인 out에 따라 7-segment
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • 현대자동차 자기소개서
    7-segment를 연결해 만들었습니다. ... 카운트 회로는 10진 up-down 카운터로 쓰이는 74192칩과 1, 2, 4, 8을 입력을 받아 7-segment에 맞게 출력해주는 decoder, 7개의 LED로 숫자를 표현하는 ... 또한 현대자동차는 2025년까지 상용차 부문에서 전기차 7종, 수소전기차 10종으로 친환경 전동화 라인업을 확장한다는 투트랙 전략을 발표했습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2021.08.16
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    예상 결과본 실험은 Verilog HDL 언어를 사용하여 7-segment and Piezo Control 을 설계하는 실험이다. 7-segment and Piezo Control ... 전자전기컴퓨터공학부 설계 및 실험2Pre Lab-08Peripherals(7-segment and Piezo Control)실 험 날 짜학 번이 름목차1. ... 실험 방법(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. upcounter 설계2. static 7 segment를 모듈화해서 사용codesimulation
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 디지털 회로 실험 및 설계 - 74LS47 Driver를 이용한 7-Segment 실험 1
    7-segment- 위의 사진은 7-segment로, 7개의 마디와 1개의 점 및 10개의 핀을 가지고 있다.- 7-segment는 애노드 공통형과 캐소드 공통형이 있으며, 애노드 ... 7-segment는 7개의 마디와 1개의 점으로 이루어진 표시장치이다. ... 실험목표① 7-segment의 구조를 살펴보고, 동작을 실험한다.② 7447 디코더의 동작을 살펴보고, 동작을 실험한다.③ 7-segment와 7447 디코더로 구성한 10진수 표시
    리포트 | 13페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    이러한 이유로 BCD코드를 8421코드라고도 부른다.(5) BCD to 7 segment Decoder세그먼트 디스플레이는 디지털 카운터와 시계 등에서 숫자를 표시할 때 자주 사용된다 ... 각각의 십진수가 4비트 이진수로 인코딩되어있다. 7 segment는 10진수 숫자를 7비트 코드로 나타낼 수 있다. ... 가장 간단한 논리식을 찾는다면 BCD를 7 segment로 바꿔주는 디코더 논리회로를 설계할 수 있다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 한양대 Verilog HDL 3
    실험 목적Verilog 문법 중 Blocking, Non Blocking의 개념에 대해 이해한다. 7-segment decoder 을 이용해 60초 기준으로 1초마다 FPGA starter ... Non-blocking은 두 개의 절차로 진행되는데, RHS(right hand side) -> LHS(left hand side) 순서이다. ... Blocking 구문들이 다 처리된 뒤, Non-blocking 구문들의 값을 확인할 수 있기에 Non-blocking 구문의 값을 확인하기 위해서는 $monitor, $strobe
    리포트 | 7페이지 | 2,000원 | 등록일 2023.03.21
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 stopwatch설계 예비
    이때 Decoder 와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다. ... (A)에서 생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 ... 또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type 간의 매칭에 유의한다.(C).
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.23
  • 인코더와 디코더 회로 예비 보고서(고찰포함)A+
    이것은 또한 기호나 숫자를 여러 가지 기호나 알파벳 문자를 코드 화하기도 하고 기호나 숫자를 코드화 하는 것을 인코딩이라 한다.7-세그먼트 표시기(seven segment indicator ... 실험 목적인 부호변환 회로의 설계방법과 7 -segment 의 사용법을 익히는 것도 인지하고 실험에 임해야겠다. PAGE \* MERGEFORMAT 2 ... 예비 보고서인코더와 디코더 회로실험 목적Encoder와 Decorder의 기능을 익힌다.부호변환 회로의 설계방법을 익힌다.Seven-segment(숫자표시기)의 사용방법을 익힌다.이론상태
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • [건국대학교 전기전자기초실험1 A+][2024 Ver] 13주차 - 예비레포트
    segment decorder에 대해 조사하시오.7-segment를 편리하게 구동시키기 위해서 display decoder가 개발되었고, 입력 4개의 핀을 통해 출력 7개의 핀을 제어하여 ... 모의실험(1) 모의실험 1 – BCD 7-segment 디코더 모의실험아래는 BCD 7-segment 디코더인 SN74LS48의 회로도이다. ... 대표적인 소자로 74LS47이 있으며 내부에 논리회로로 구성되어 2진수에 해당하는 입력핀의 신호에 대해 7 segment 디스플레이로 숫자 형태를 출력한다.(3) 7-세그먼트를 구동하기
    리포트 | 19페이지 | 5,000원 | 등록일 2024.08.10
  • 아날로그 및 디지털회로 설계 실습 stopwatch 설계_결과보고서
    또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type 간의 매칭에 유의한다.회로도(C) 전원을 인가하고 7-segment LED에 표시되는 숫자가 ... BCD카운터 출력 4bit을 BCD to 7-segment 사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 그럼 74LS192의 Q0에서 Q3 출력이 74LS47의 입력에 그대로 인가된다. 74LS47의 출력이 7-segment로 연결되어 출력이 나타난다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.30
  • 충북대 기초회로실험 인코더와 디코더 회로 결과
    실험 2에서는 BCD to 7-Segment 디코더의 출력신호가 나오는 곳에 7-segment 표시기를 달고서 BCD 입력에 따라 LED에 출력되는 숫자를 확인하였다. ... segment의 진리표이다. ... 회로를 구성하여 7-segment LED를 구동확인하고 0~9숫자의 논리식을 표시하라.입력 (BCD)출력 (Display)A _{3}A _{2}A _{1}A _{0}abcdefg000011111100001011000000101101101001111110010100011001101011011011011000111110111111000010001111111100111100110
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.10.26
  • 8주차 예비 - 인코더와 디코더 회로
    기초회로실험1전자공학부10주차실험제목 : 인코더와 디코더 회로실험목적 : (1) Encoder와 Decoder의 기능을 익힌다.(2) 부호변환 회로의 설계방법을 익힌다.(3) Seven-segment ... 한다.(1) 디코더(Decoder) : 디코더는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력논리 회로이다. ... 이는 인코더 회로는 디코더회로의 반대기능을 지닌 회로라는 것을 의미한다.(3) 7-세그먼트 표시기(Seven Segment indicator) : 7-세그먼트는 디지털 신호에 의해
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.01
  • 서강대학교 21년도 디지털논리회로실험 9주차 결과레포트 (A+자료) - ROM, RAM, Dot matrix, key matrix
    -1을 이용하여, key matrix의 16개의 스위치에 해당하는 숫자를 7-segment display에 표시하는 회로를 구현하고자 한다.Cmod S6가 제공하는 기본 CLK의 주파수는 ... 모든 경우에서 7-segment display의 표시 내용은 입력된 key와 일치하였고, K(7:0) 또한 회로의 의도대로 나오는 것을 확인할 수 있었다.STEP 6:그림6-1 RAM을 ... 디지털논리회로실험 9주차 실험 보고서목적- 메모리 소자들(ROM, RAM)의 동작 원리와 활용 방법을 이해한다.- Address decoding의 개념과 구현 방법을 이해한다.- ROM을
    리포트 | 35페이지 | 3,000원 | 등록일 2022.09.18
  • 서강대학교 디지털논리회로실험 - 실험 9. Memory Elements : ROM/RAM 결과 보고서
    텀 프로젝트 수행시 7-segment를 활용하고자 한다면 이와 같 은 방법을 통해 여러 개의 7-segment에 각기 다른 패턴을 나타낼 수 있다. ... 이번 실험에서 clock 신호를 이용해 2개의 7-segment에 서로 다른 패턴을 표시해 봄으로써 실제 7-segment의 사용법을 익힐 수 있었다. ... 7-segment에 직접 연결하여 그 결과를 확인한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:44 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대