• 통큰쿠폰이벤트-통합
  • 통합검색(396)
  • 리포트(387)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7-segment/Decoder" 검색결과 101-120 / 396건

  • 서울시립대학교 전전설2 8주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Post-Lab Report- Title: Lab#08 Peripherals(7-segment and Piezo Control)담당 교수담당 조교실 험 일학 번이 름1. ... 음계를 인가버튼을 눌렀을 때 7 segment에 버튼숫자가 표시되면서 Piezo에서 해당하는 소리를 냄스마트폰에서 tuner 앱을 다운받아서 주파수 확인해 볼 것Piezo designTest ... (버스 스위치로 입력)- Outputoutput [7:0] out single FND 에 연결하여 확인- Operation동작 확인 : bcd = 0110 FND = ‘6’FND_decoderTest
    리포트 | 30페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.25
  • 광운대학교 전기공학실험 M3. 숫자표시기(7-SEGMENT LED) 응용 예비레포트 [참고용]
    또한 LED 도통 전압강하는 2V정도이며, 저항 양단의 전압은 5V로 잡았을 때, (옴의법칙)에 따라 적정 저항은 270옴이 된다.3-1-3. 7-segment decoder/driver ... : BCD코드가 주어지면 이것으로 숫자표시기(7-segment display)의 LED들 중 적절한 것을 켜주는 IC를 말한다. ... 이 기기는 캐소드 공통 FND와 애노드 공통 FND가 있으며, 숫자를 표시하기 위한 LED의 각각의 부분을 segment라고 하며 a~g의 이름이 정해져있다.
    리포트 | 7페이지 | 1,500원 | 등록일 2024.01.02
  • 시립대 전전설2 Velilog 예비리포트 8주차
    표시해 줄 수 있는 최소의 장치HBE Combo 2 장치는 Common Cathode 방식을 따르며 High 값이 올 때 LED의 불이 들어온다.7segment Decode Data7segment ... 두 번째 7segment 단자를 표시하기 위해 Common단자를 1011로 맞춘다. ... 다음 7segment를 표시하기 위해 차례대로 1101, 1110으로 조절한다.PIEZO주파수를 조정하여 소리를 발생시키는 출력장치이다.
    리포트 | 13페이지 | 1,000원 | 등록일 2021.04.16
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서9
    값에 따른 7-segment 동작? ... 실험의 대략적인 개요는 아래와 같이 표현할 수 있다.BCD to 7 segment decoder -> Display driver2) 고찰- 이번 실험은 Counter에 대해 알아보는 ... 위의 실험은 7-segment를 동작시키기 위하여 데이터를 BCD code로 바꾸는 것이다.?
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 시립대 전전설2 Velilog 결과리포트 7주차
    표시해 줄 수 있는 최소의 장치HBE Combo 2 장치는 Common Cathode 방식을 따르며 High 값이 올 때 LED의 불이 들어온다.7segment Decode Data7segment ... 두 번째 7segment 단자를 표시하기 위해 Common단자를 1011로 맞춘다. ... 다음 7segment를 표시하기 위해 차례대로 1101, 1110으로 조절한다.PIEZO주파수를 조정하여 소리를 발생시키는 출력장치이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.12.11
  • 서강대학교 디지털논리회로실험 레포트 9주차
    0]을 통해 7-segment display에 연결된다. ... 표에서 a-h는 7-segment display를 구성하는 개별 LED를 표시하며 KIT에 장착된 7-segment display의 특성을 반영해 LED의 on을 위해 0을, LED의 ... 패턴을 두 7-segment displays에 표시하기 위한 회로이다.
    리포트 | 30페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 디코더, mux, comprator, 4비트 감가산기
    여기에서는 2개의 입력값을 AND gate 연산과 Not를 이용해서 총 4가지 출력값을 도출 하였습니다.이를 응용해서 BCD 2진법 입력값 4개를 이용해서 7segment Display방식을 ... 제 목 : Decoder, Mux, Comparator, 4bit-adder-subtractor2. 내 용 :Decoder위 식에서는 2개의 입력값과 4개의 출력 값이 있습니다. ... =(((a0&(a0^b0))'|(a1^b1))&(a1&(a1^b1))')'4bit-adder-subtractor위 식은 4bit 가감산기를 나타낸것인데 이는 하나의 회로에서 덧셈과
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용]
    디코더/구동기(7-segment decoder/driver): BCD코드가 주어지면 이것으로 숫자표시기(7-segment display)의 LED들 중 적절한 것을 켜주는 IC를 ... 실험 개요7-세그먼트 표시기(7-segment display)라 불리는 숫자표시기의 구성원리를 이해하고 이를 구동하는 방법을 실습한다. ... 이론 조사3-1. 7-세그먼트 표시기(7-segment display): 숫자표시기라고 하며 일곱 개의 발광다이오드(LED: Light-Emitting Diode)로 이루어진 일곱
    리포트 | 9페이지 | 1,500원 | 등록일 2024.01.02
  • 논리회로실험 예비보고서8
    -실험3) 7-segment BCD Counter① 74HC90(Decade and Binary Counter)의 출력을 74HC47(BCD-to-Seven-Segment Decoder ... 위의 그림과 같이 2단 2진 counter는 출력이 2bit이기 때문에 입력 (A’,B’), (A,B’), (A’,B), (A,B)를 통해 4가지 출력을 디코딩할 수 있다.·7-segment7개의 ... LED로 숫자를 디스플레이에 표시하는 장치로 0부터 9까지의 2진값을 7-segment에 숫자로 표현할 수 있도록 변환한다. cathode type일 경우 위의 표와 같고 anode
    리포트 | 7페이지 | 1,500원 | 등록일 2020.09.18
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 2 보고서
    After understanding the theoretical backgrounds, we will implement 7-segment controller and ALU by using ... principles of MUX/DEMUX and Encoder/Decoder, which are the typical examples of combinational logic circuits ... Abstract There are two main types of logic circuits: combinational logic circuits and sequential logic
    리포트 | 12페이지 | 3,000원 | 등록일 2020.08.18
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 예비보고서
    실험 기기 및 부품BCD to 7-segment decoder 7447, 7-segment 5161NOT 게이트 7404, OR 게이트 7432, AND 게이트 74084. ... 주의 사항- 7-segment 5161을 오래 사용하면 과열될 수 있으니 주의한다.- +와 ? ... 또한 출력 EO는 I0, I1, I2, I를 만들 수 있다.BCD-to-7 세그먼트 디코더BCD-to-7 세그먼트 디코더는 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • 7-세그먼트 표시기와 디코더 결과보고서A+
    [그림 10 - 1] 74138 decoder[그림 10 – 2] 7 segment애노드 공통형을 선택하여서 두개의 Vcc에 5V 전압을 주었다. ... [그림 10 – 2] 7segment의 2개의 타입 중 하나를 이용하여 0~7까지 표현 가능하도록 회로와 진리표를 완성하여라. ... 실험목적디지털 기기에 많이 사용되는 7-세그먼트에 대한 이해를 하고 BDC to 7-세그먼트 디코더를 설계한다.2.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    더 나아가 우리가 원하는 값이 single FND에 출력이 되야 하므로 7-Segment Decoder 진리표에 따라 각 숫자에 맞게 그 값을 설정해준 것이다.실험2) Design ... 전자전기컴퓨터공학부 설계 및 실험2Post Lab-08Peripherals(7-segment and Piezo Control)실 험 날 짜학 번이 름목차1. ... 본 토의에서는 실험4의 코딩에 대해서 설명하고자 한다.총 4개의 7segment의 자리 수를 나타내는 변수 seg_com 선언각각의 7segment에 나타나는 변수 seg_data
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    ) BCD to 7 segment Decoder- BCD-to-7세그먼트 디코더는 디코더의 일종으로, 4비트로 구성된 BCD 값을 입력으로 받아들여 7 segment에 각 디스플레이가 ... 있으며 이를 통해 아라비아 숫자를 표시할 수 있고 몇몇 개의 알파벳 또한 표현 할 수 있어 16진수까지 표현이 가능하다.16진법 7 segment 표기- 7 segment는 A형과 ... 여기서 sum의 값이 12비트이temp의 값들을 각 대응하는 segment의 값들에 입력시켜 출력 값을 갖게 하였다.schematic- 최종적인 bcd to 7segment adder를
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로설계실험 BCD가산기 레포트
    ) BCD to 7 segment Decoder앞에서 언급한 바와 같이 BCD는 10진수 숫자를 4비트 코드로, 7 segment는 10진수 숫자를 7비트 코드로 나타낼 수 있다. ... segment codeBCD to 7segBCD의 값을 7-segment로 바꿔주는 코드이다.input으로 4bit의 수를 받는다(5행).output으로 7bit의 수를 출력한다(6행 ... 이번 설계과제에서는 Common-anode type 7-segment를 이용하여 과제를 진행하였다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 디지털시스템실험 3주차 예비보고서
    디코더란 이름은 BCD-to-7segment 디코더와 같은 코드 변환기와 결합되어 사용되기도 한다.예를 들어 3-to-8 디코더를 생각해 보자. 3개의 입력은 8개의 출력으로 해독되어지며 ... 이 알고리듬이 Shift and Add-3 알고리듬이다.실험방법■ 라인 디코더 구현① 2-to-4 Line Decoder 구현1. 2-to-4 Line Decoder를 위한 진리표를 ... Convertor 설계실험목표① 2-to-4, 3-to-8 라인 디코더를 설계한다.② Binary-to-BCD Convertor 설계한다.기본지식① Decoder디지털 시스템에서
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 디지털회로 보고서
    한 개의 신호등에만 초록불이 켜지고, 나머지에는 빨간 불이 켜진다.- BCD-7-segment Decoder하나의 신호등마다 2개씩 사용하였다. ... 경제성 분석7-segment에 연결되는{bar{A}}+B에서 OR소자들이 많이 쓰이면서 경제성을 많이 충족시키지 못했다.5. ... segment 2개로 남은시간을 display해준다.2.
    리포트 | 5페이지 | 1,500원 | 등록일 2019.09.29
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다. ... Conclusion- Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 설계 및 실험할 수 있다. ... Anode 7-Segment 회로를 나타낸다.a. 7-Segment Decoder 진리표b.
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다. ... Anode 7-Segment 회로를 나타낸다.a. 7-Segment Decoder 진리표b. ... 실험 이론(1) 7-Segment Decoder- 7-Segment 또는 FND (flexible numeric display)라고 부른다.- 8개의 LED로 구성되어 있으며, 각각의
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 전기및디지털회로실험 아두이노M3 예비보고서
    7-segmentm display의 led들 중 적절한 것을 켜주어 해당 숫자가 표시되도록 하여 주는 ic를 bcd-to-7-segment decoder/driver라고 부른다. ... segment를 캐소드(음극)이라 설정하고 회로 시뮬레이션을 했다.int a=2;int b=3;int c=4;int d=5;int e=6;int f=7;int g=8;void setup ... 관련이론7 segment display: 숫자표시기는 일곱개의 발광다이오드로 이루어진 표시창으로 일곱개의 LED a~g 중 어느 것들이 켜지느냐에 따라 0~9까지의 숫자가 나타나도록
    리포트 | 14페이지 | 1,000원 | 등록일 2021.03.20 | 수정일 2021.09.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:45 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대