• 통큰쿠폰이벤트-통합
  • 통합검색(396)
  • 리포트(387)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7-segment/Decoder" 검색결과 301-320 / 396건

  • 결과07_Decoder&Encoder
    이런 회로의 구성을 통해 마치 회로가 Excess-3의 체계를 갖는 것처럼 동작하는 것이다.5) 7 segment 표시기를 갖는 BCD 카운터주파수 발생기를 1Hz 정도로 하고 표시기를 ... BCD 카운터는 이를 LED를 이용해 열 개의 상태를 보여주었고, 7 segment는 가시화된 정보로 표현해준 것이다. ... 클럭을 주면 7segment LED display에 0에서 9까지의 숫자가 깜빡거리면서 바뀌는 모습을 볼 수 있다.II.
    리포트 | 6페이지 | 3,000원 | 등록일 2010.11.10
  • Verilog 및 Quartus II를 이용한 논리회로 설계 실습 3-예비,결과 보고서
    을 이용한 BCD-to-7-segment decoder 설계verilog gate-level 을 이용한 BCD-to-7-segment decoder의 Waveform-> BCD-to ... 9의 보수를 이용한 7-segment decoder 진리표이다. ... code의 9의 보수를 이용한 7-segment decoder 진리표위 표는 예비보고서에 썼던 BCD code의 9의 보수를 이용한 7-segment decoder 진리표이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2009.01.25
  • 디지털 홀소자를 이용한 카운터 설계
    type)출력 : BCD코드를 십진수로 표기되도록 7비트의 7-segment LED decode/drive 신호 출력● Lamp Test() : 회로구성 후 7-segment display의 ... (Hall-Effect sensor)1150Ω14라) 기초학습: IC: BCD to 7-Segment LED Display Decoder-Driver (for Anode Common ... 다) 부품 및 기기:부품 명모델 및 사양(사진포함)수량부품 명모델 및 사양(사진포함)수량7-Segment LED(HS-S1036A)274LS393174LS00(NAND게이트)174LS472A3144EU
    리포트 | 4페이지 | 1,500원 | 등록일 2010.06.23
  • 실험7결과[1].Decoder&Encoder
    7-segment를 직접 연결하는데는 문제가 있었다. ... 출력 파형- 7490IC에 의해 클럭에 따라 2진수가 만들어지고, 만들어진 2진수를 7-segment에 표시하기 위한 7447IC를 연결한다. ... 갖는 BCD 카운터회로 SEQ 회로 \* ARABIC 5. 7-segment 표시기를 갖는 BCD 카운터그림 SEQ 그림 \* ARABIC 6.
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.27
  • 복호기와 부호기
    그리고 이때 7segment의 dp단자는 연결하지 않아도 된다.회로4를 구성한 후에 파형발생기를 사각파, 5VCC로 하고, +,-2.5V의 파형을 갖게 한다. ... / Excess-3코드회로3을 위 그림과 같다. 7447에서 나오는 출력값(f,g,a,b,c,d,e)를 왼편의 그림에 있는 7segment에 맞추어서 연결해야 한다. ... -관찰한 결과 위의 그림과 같이, 7segment가 0에서 시작해서 9까지 순차적으로 숫자가 1초마다 변화함을 확인 할 수 있었다.고찰- 이번실험은 디코딩과 인코딩에 관한 실험이었다.디코더는
    리포트 | 22페이지 | 1,000원 | 등록일 2010.12.20
  • led와 7-세그먼트(결과) 인코더/디코더 코드 변화기, 멀티플렉서와 디멀티프렉서 (예비)
    DC power supply? 7-segment (FND 507) 1개? Breadboard? 저항 330[Ω]×7, 680[Ω]×7? ... Decoder, 해독기)디코더는 N비트 2진 입력 코드를 M(MLEQ 2 ^{n}) 출력 선으로 변환시키는 논리회로이다.- 일반적인 디코더 블록도 -3) BCD-10진 디코더 회로BCD ... 그리고 저항 7개를 납땜 하여 7-세그먼트 a~g를 각각 연결하였다.모듈을 다 만들고V _{eqalign{CC#}}을 주어 모듈이 잘 작동이 되는 지 확인 해 보았다.
    리포트 | 12페이지 | 1,000원 | 등록일 2014.04.20
  • 홀소자를 이용한 저금통 동전 수 카운팅
    자석이 접근할 때마다 클럭이 발생하여 카운터에 전달.기초학습 – 74LS47BCD코드를 십진수로 표기되도록 7비트의 7-segment LED decode/drive 신호 출력 Lamp ... Test(LT) : 회로구성 후 7-segment display의 구동 여부를 동시에 확인하는 입력 핀(Active-Low) Ripple Blanking Input(RBl) : 10진수 ... 설계한 회로도를 가지고 기판에 납땜하여 실제로 만들어보고 구동시킨다.부품 및 기기부품 명모델 및 사양수량부품 명모델 및 사양수량7-Segment LED(HS-S1036A)274LS393174LS00
    리포트 | 15페이지 | 1,500원 | 등록일 2010.12.15
  • 연세대 전기전자 기초실험 09년도 레포트 결과 8 Basic Logic Circuit Design
    8-5 result of 7-segment decoder2. ... ,Y,SS1);endmodule< 8×1 mux >③ Find the maximum delay route of 7-segment decoder, then calculate the maximum ... operating frequency when this circuit is run by clock.maximum delay route of 7 segment decoder is I1
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.17
  • Excess 3 to BCD code converter ( Excess-3-to-BCD code converter )
    decoder를 통하여 7-segment LED 에 표시한다.< EX 3 TO VCD Code Converter and 7–seg.LED Decoder 회로도>Specification ... 입력에 스위치를 통하여 Excess-3 code 를 인가하고 출력에 LED 를 연결하여 BCD code 를 확인하고 더 나아가 Excess-3, BCD code를 7-segment ... 입력값의 7-segment 진리표DecimalExcess-3 input7-SegmentABCD012345611000XX0X010000111XXX100010CDAB0001111000XX0X010010110XXX101101W
    리포트 | 16페이지 | 3,500원 | 등록일 2011.04.22
  • 진수변환기(최종본)
    조원역할 목차0~9 까지의 의미를 지닌 10 개의 입력값을 10 진수로 7-segment 로 표현 0~9 까지의 의미를 지닌 10 개의 입력값을 3 진수로도 7-segment 에 표현한다 ... 최적화로 인해 게이트 사용 최소화 BCD to 7-Segment Decoder 로 구현 설계목표1. 부울 대수식 기본 공식 관련이론2. ... AND 74LS08 3 500 1,500 IC 2-input OR 74LS32 3 500 1,500 IC NOT 74LS04 2 500 1,000 IC 7-segment 디코더 74
    리포트 | 24페이지 | 2,000원 | 등록일 2011.11.13
  • 디지털 회로설계 실험 3주차 레포트
    변경해가며 LED의 출력 값을 알아본다.3-4. 74LS47 : 7-segment decoder그림 3.17과 같이 74LS47을 연결하고 SW20~23의 조합을 통해서 7 segment ... Decoders and Encoders2. 실험목표1. 일반적인 binary decoder의 동작 원리를 이해한다.2. 7-segment decoder의 동작원리를 이해한다.3. ... FPGA 구현위의 실험 74LS47: 7-segment decoder과정에서 했던 실험을 MAX+ PLUSⅡ로 구현하여 같은 값이 나오는지 알아본다.
    리포트 | 16페이지 | 2,000원 | 등록일 2008.12.01
  • 아주대 논리회로실험 결과7-복호기와 부호기
    값을 얻을 수 있었다.5) 7 segment 표시기를 갖는 BCD 카운터< 그림 8. 7-segement 표시기를 갖는 BCD 카운터 >※ 토의 사항이번 실험은 교재의 실험 회로의 ... 하지만 워크벤치를 이용한 시뮬레이션 결과 7-segement 표시기의 정확한 표시를 볼 수 있었다. ... 실험 7. 복호기와 부호기(Decoder & Encoder)1.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.04
  • decoder를 이용한 각종 시스템 구현
    RTL 회로□ BCD to 7-segment decoder- BCD to 7-segment decoder는 BCD에서 10진수를 받아들이고 그 숫자를 나타내는 segment 를 선택하여 ... [7],M[8],M[9]);endmodule- BCD to 7-segment decoder 역시 Excess 3 code converter와 마찬가지로 Minterm module을 ... 이용한 각종 시스템 구현실험목표1.BCD to Excess-3 code converter2.BCD to 7-segment decoder3.Traffic light controller실험결과
    리포트 | 7페이지 | 1,000원 | 등록일 2009.05.07
  • 실험(1) 디코더 결과보고서
    -7 segment 복호기의 논리회로를 구성하라.그림 BCD-to-7 segment 논리회로⑸ 7490 십진 카운터에 대하여 조사하라.아래 그림은 7490 십진 카운터의 Logic ... , www.alldatasheet.com74LS47 BCD-to-7 segment, www.alldatasheet.com ... 그것을 다시 decoder로 decoding 해서 원래의 이진수를 구할 수 있었다. encoder와 decoder를 하나로 합쳐 입력 그대로의 값을 출력 값으로 나타내었다.이 번 실험
    리포트 | 4페이지 | 1,000원 | 등록일 2009.06.21
  • 디지털시스템(TTL CLOCK)
    비롯하여BCD-to-7, 7-segment LED. 12시간 표시기 디코더, Divide-by-N counter등이 필요하다. ... 카운터에서 나온 신호를 BCD to 7- segment LED(7447)을 이용하여 표현 할 수 있다.3. ... 시간을 신호로 나타낸 다음 이 신호를 사람을 볼 수 있게 FND를 이용하게 된다. 7-segment LED 에 시간을 나타내는 신호를 보내서 10진수를 표현한다.
    리포트 | 51페이지 | 2,000원 | 등록일 2010.07.02
  • vhdl를 이용한 BCD-TO-EXCESS3 코드변환기(조합회로)
    코드(OUTPUT)는 가장 최상위 bit를 W로하고 가장 최하위 bit를 Z까지 W, X, Y, Z 로 표시한다.7-segment decoder를 통하여 7-segment LED에 ... 표시하기 위하여 7-segment를 벡터로 나타내어 최상위 bit를 s[6]로하고 가장 최하위 bit를 s[0]까지 s[6], s[5], s[4], s[3], s[2], s[1], ... s[0]으로 표시한다.ABCDEX-3to7-segmentdecoderS[6]S[5]S[4]S[3]S[2]S[1]S[0]BCDToEX-3WXYZ2. formulationDecimaldigitInput
    리포트 | 9페이지 | 2,000원 | 등록일 2010.06.11
  • 디지털전자실험 - 디코더,인코더 특성
    (위의 LED에는 불이 켜지지 않는다.)실험 10-5 BCD-to-7 segment decoder를 이용한 FND 구동회로BCD-to-7 segment decoder를 이용한 FND ... -4 decoder),7447(BCD-to-7 segment decoder)LED(Light Emitting Diode) 2개, 저항 100(Ohm) 2개, 저항 330(Ohm) 8개 ... -to -7 segment 디코더란?
    리포트 | 6페이지 | 2,000원 | 등록일 2009.01.30
  • [디지털 결과]디코더 와 인코더
    실험목적1) 디코더와 인코더의 동작원리와 특성을 확인하고 부호 변화기의 동작을 알아본다.2) 디코더와 인코더의 구성방법을 익혀 코드를 만들 수 있는 능력을 키우고 7-segment의원리와 ... 이번 실험에 있어서는 마지막 7-Segment의 실험을 수행하는데 있어 클럭을 주는 것에서 많은 어려움이 있었다. ... 그리고 우리가 일상생활에서 보는 7-Segment를 이용하는 제품들이 어떠한 원리에 의해 작동이 되는지를 대략적으로나마 알 수가 있었다.
    리포트 | 4페이지 | 2,000원 | 등록일 2010.11.12
  • 은행창구회로도
    다운시 오작동다운시 2씩 내려가는 오작동 문제를 NOT게이틑 통과하여 1씩 감소하게 작동사용부품저항 [4.7K,10M Ω], 스위치 7-segment [anode형] 7-segment ... decoder [7447] 2-input NOT [7404] 2-input XOR [7486] 7475 소자 케이블 전선 [소켓포함] 동기카운터 [74192] 커패시터[0.1UF]
    리포트 | 9페이지 | 10,000원 | 등록일 2009.10.08 | 수정일 2023.10.12
  • Encoder와 Decoder 결과보고서
    목적- Encoder와 Decoder의 기능을 익히고 부호변환 회로의 설계방법과 Seven-segment(숫자표시기)의 사용방법을 익힌다.1. ... BCD -7 세그먼트 디코더(BCD to 7-segment diode)는 BCD에서 십진 숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다. ... 디코더(Decoder)디코더(Decoder)는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력 논리 회로이다. 입력코드의 수는 일반적으로 출력코드보다 적은 수를 가진다.
    리포트 | 5페이지 | 3,000원 | 등록일 2009.03.11 | 수정일 2018.07.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대