• 통큰쿠폰이벤트-통합
  • 통합검색(396)
  • 리포트(387)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7-segment/Decoder" 검색결과 361-380 / 396건

  • 7-segment
    아래는 4511(BCD t0 7 segment decoder)의 핀 배치도와 기능표이다.부가적으로 설명을 하자면 A,B,C,D에 이진수를 입력하면 십진수로 변환하여 FND에 출력한다 ... 1.실험제목 : 7-세그먼트2.관련이론1) 7-세그먼트 (Seven-Segment)7-세그먼트는 8개의 독립된 LED들로 구성된다.(7개의 세그먼트와 하나의 소숫점)7-세그먼트는 발광 ... 모습이다3) 7-세그먼트의 구동방식7-세그먼트는 정적 구동방식과 동적 구동방식으로 구동할 수 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2008.09.23
  • 디코더와 인코더
    능력을 키운다.- 7-segment의 원리와 숫자 표시기의 사용방법을 익힌다.2. ... 표 7-6을 완성하여라.그림 7-6 BCD to Decimal Decoder출력 결과DCBAD? ... 사전보고서제출일학과전자공학과조학번조원이름성명Ch. 7 디코더와 인코더(Decoder and Encoder)- -1.
    리포트 | 5페이지 | 1,000원 | 등록일 2007.01.11
  • 7세그먼트 표시기 및 디코더
    여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다. ... 7-Segment Decoder7447BCD-to-7-Segment Decoder7448BCD-to-7-Segment Decoder7449BCD-to-7-Segment Decoder74246BCD-to ... -7-Segment Decoder74247BCD-to-7-Segment Decoder74248BCD-to-7-Segment Decoder그림 5-6.
    리포트 | 10페이지 | 1,500원 | 등록일 2007.09.03
  • TTL 디지털시계 제작
    -‘시’ 부분에서 12/12 카운터 형성.:각 부분에서 형성된 카운터를 7-segment로 연결하여 디스플레이함.3. 주요부품.1. TTL IC. ... 1~10까지 카운팅되는 시스템을 만든다.- 각 74LS47 IC는 10진과 6진의 출력을 7-segment로 전달한다.: 74LS90의 출력을 받아 Counting.: 6진 카운트와 ... 시스템을 만든다.- 각 74LS47 IC는 10진과 6진의 출력을 7-segment로 전달한다.: 앞에서의 초의 출력을 받아서 counting 됨: 10진, 6진 두 개를 합하여 60진
    리포트 | 20페이지 | 2,500원 | 등록일 2009.02.05
  • 논리회로 실험 - 디코더, 인코더 결과보고서
    BCD 카운터7-segment 표시를 하기 위해서는 우선 NBCD 입력을 10진수로 고치고 그것을 7-segment 코드로 변환하여야 한다. ... -실험에 대한 고찰7 segment 라 함은 FND의 LED가 켜지는 구간이 7개 이므로 붙여진 이름이다. ... 이러한 기능을 7447이 할 수 있다. 7447은 애노우드 접지형 7 segment LED를 드라이브할 수 있게 active-low open-collector 출력을 갖는다.그림 8의
    리포트 | 12페이지 | 1,000원 | 등록일 2008.02.25
  • 시계설계
    카운터를 형성하여 초당 1번 1~10까지 카운팅 되는 시스템을 만든다.- 각 74LS47 IC는 10진과 6진의 출력을 7-segment로 전달한다.: 74LS90의 출력을 받아 ... 칩은 앞의 74LS90의 출력을 받아 10진의 카운터를 형성하여 분당 1번 1~10까지 counting되는 시스템을 만든다.- 각 74LS47 IC는 10진과 6진의 출력을 7-segment로 ... :각 부분에서 형성된 카운터를 7-s저 7-SEGMENT, 소캣, OCSILLATOR등의 위치를 정하고 Vcc와 GND 성의 위치를 확보해야 원활한 제작이 가능하다.
    리포트 | 16페이지 | 2,000원 | 등록일 2009.06.24
  • [전자기학]LIGHT-EMITTING DIODES(예비보고서)
    LED의 음극은 플라스틱 케이스 내의 깃발 모양(Flat lead)을 가진 단자이다.그림-2 LED 패키지(2) Seven-segment display위의 그림-2 에서와 같이 Seven-segment ... 실험목적실생활에서 많이 사용하고 있는 LED와 Seven-segment display의 원리를 이해하고 이에 대한 응용으로 Electro-Optics Isolators와 Interrupters의 ... 이 회로에서의 다이오드는 스위치들을 각각으로부터 잘 분리시킨다.FIGURE 17-4Seven-Segment Decoding DiagramS1과 S2에 다른 두개의 숫자를 선택하고 연결하는데
    리포트 | 5페이지 | 1,000원 | 등록일 2006.06.23
  • 카운터설계
    진리표 7-segment 회로도아래처럼 해서 7-Segment 알파벳과 숫자를 모두 표현 하는 것이다.일성하면은 양 극판에는 전자에 의해 음과 양의 전극으로 대전상태가 된다.극판은 ... : 33×101옴 ±5%5) 7-segmentLED도 일종의 디스플레이 장치 중 하나 이지만2진 데이터를 출력하기 때문에 사람 눈에는 익숙하지 못하다.좀 더 직관적인 디스플레이 장치로 ... A, B, C에서 C이다.7-Segment는 공식적으로?
    리포트 | 28페이지 | 3,000원 | 등록일 2010.11.23
  • [디지털공학]디지털논리회로
    논리회로설계 Design Project #2BCD-to-7 segment decoder위 그림에서 보는바와 같이 7-Segment Decdoer는 2진 Code의 수를 입력으로 받아들여 ... 0부터 9까지의 수를 표현한다. 7-segment Display는 그림과 같이 7개의 LED 소자를 적절히 구성하여 각각의 단자에 높은 전압(Logic '1')을 가해 글자를 표현하는 ... Y'Z'gCDAB00011110000011011101110000101100THEREFOREg = W + XY' + X'Y + YZ'으로 나타남을 볼 수 있다.이것을 바탕으로 논리게이트를 구현해보면,③The logic diagram by Max+pus-Ⅱ으로써
    리포트 | 4페이지 | 1,000원 | 등록일 2006.01.16
  • [논리회로]자판기 구현
    swMaterial sw7-segment LEDCREDITCHANGEMaterialSUGARCOFFEEMILK6-segment Bar-LEDCOFFEECoin 500 sw- Vending ... 동전 개수를 7 segment LED에 필요한 적절한 code로 바꿔주는 4 to 8 DECORDER e.g. 400 원 = 100won 4개 - 0100 := [decoder] : ... 0); D : out std_logic_vector (7 downto 0)); end DECODER_4*8; architecture BEHAVIORAL of DECODER_4*8
    리포트 | 19페이지 | 3,500원 | 등록일 2005.04.18 | 수정일 2017.10.24
  • [공학]JPEG 개요
    JPEG Header Information(1) Marker 구조MarkerMarker segment lengthMarker segment dataMarker2bytes항상 0xFF로 ... -12.1-5.22.1-1.7-2.71.3-22.6-17.5-6.2-3.2-2.9-0.10.4-1.2-10.9-9.3-1.61.50.2-0.9-0.6-0.1-7.1-1.90.21.50.9 ... 시작두번째 byte는 1byte의 code( 0x00과 0xFF는 사용하지 않음) 삽입.Length2bytes자신을 포함한 Marker segment data 의 길이Marker
    리포트 | 15페이지 | 1,500원 | 등록일 2006.06.05
  • HDL 프로젝트(사칙연산)
    즉 이렇게 자리수가 결정된 숫자는 바로 연결되어 7_segment_decode가 넘겨받아 각자 자리수로서의 활동을 하게 되는 것이다.(8) 7 - Segment decode1) 입출력 ... 그리고 나서 이 레지스터의 자리마다 어떤 숫자가 있는지를 판별하여 7_segment_decode로 넘겨 주는 것이다. ... 이렇게 하위자리로 가면서 자리수의 판별을 실시하는 것이다.이렇게 모든 자리수의 확정이 있고 난 후에는 그 값을 각 자리 수를 대표하는 7_segment_decode로 넘겨주게 된다.
    리포트 | 44페이지 | 3,000원 | 등록일 2004.10.26
  • [디지털공학]디코더와인코더
    Dip S/W 0~9 를 입력함에 따라 7-segment LED에 해당숫자가 표시될 수 있는 회로를 제작하여 검증하라.2. ... Simulation실험1) 4 to 1 MUX1-1. 회로도1-2. 시물레이션실험2)Dip S/W 0~9 입력에 따른 7-segment LED2.1 회로도2-2. ... 그림 7에는 완전한 진리표로 부터 각 출력에 대한 논리식을 구하는 카르노맵도 같이 나타내었다.그림 7. 4-to-2 인코더 설계그림 6에 나타내었던 4-to-2 인코더는 어느 한 순간에
    시험자료 | 28페이지 | 1,500원 | 등록일 2006.11.30
  • 7-세그먼트
    여기서 막대 모양의 LED 하나하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다. ... 실험방법☞ BCD to 7-SEGMENT Decoder는 입력신호 A,B,C,D에 출력신호 a~f가 동작을 하게 되는데 이것을 LED에 연결하여 10진수를 표현할 수 있다. ... 원래 7-세그먼트는 숫자와 A-F까지의 알파벳까지 표현할 수 있고, 따라서 16진수의 수까지 나타낼 수 있다. 7세그먼트 표시기는 애노드 공통형(common-anode type)과
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.15
  • 디지털 도어록 프로젝트 보고서
    여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다(애노트타입)(캐소드 타입)애노드 공통형은 말 ... 7448 (BCD to 7-Segment Decoders) 4개- 74174 (D Flip-Flop withCommon Clock) 3개- 7490 (Dacade and Binary ... 그림에서 알 수 있듯이 7세그먼트 표시기는 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 배열시키고 각 LED에 불을 켜거나 끔으로서 10진 숫자를 표시하도록
    리포트 | 13페이지 | 3,000원 | 등록일 2010.06.24 | 수정일 2018.06.10
  • 디지털회로 설계의 기초 4장 연습문제 풀이(설계 제외)
    *2 = 600원4.8 BCD코드로 부호화된 10진수를 7-segment에 나타내기 위해서는 BCD코드를 7-segment의 요소들로 변환하는 회로가 필요하다. ... (마) ROM을 이용하여 구현하시오.ROM을 이용하여 구현할 시, ROM으로 Decoder를 구현한 후 NAND를 이용하여 minterm들을 묶어준다. ... (가)의 경우 Quad 2-Input AND Gate*2 + Quad 2-Input OR Gate*1 = 600원(나)의 경우 3x8복호기*1 + Dual 4-Input NAND Gate
    리포트 | 18페이지 | 1,000원 | 등록일 2007.12.01
  • <관광> 항공예약 2008년 시험족보(TOPAS)
    -> HL(UN - 항공편이 뜨지 못할 거 같다, UC - 항공편이 못뜨니 다른편을 알아봐라 & 예약대기도 안된다, NO - 항공편이 운항하지 않는다)7. ... FONT-S4F-T-02-222-2222 TOP KIM/YH엔트리 입력-> 01@T-02-222-222237. 다음 엔트리 중 틀린 것은? ... 17일(1일) - 18일(2일) - 15일(3일) : 여정의 연속성이 어긋남.-> 따라서 /1S3을 이용하여 3번을 1번으로 옮길 수 있다.16.
    시험자료 | 6페이지 | 2,000원 | 등록일 2009.02.05
  • [디지털설계] VHDL로 구현한 vending Machine(자동판매기)
    것이다.▲ sel-decode won_state의 7-segment 위치를 표시하는 것이다.▲ 각 제품의 *_count는 제품이 선택되고 출력되는 시간을 표시하는 것이다. ... = "0000110"; --1일 때 7-segmentwhen 2 => seg_decode := "1011011"; --2일 때 7-segmentwhen 3 => seg_decode ... := "1001111"; --3일 때 7-segmentwhen 5 => seg_decode := "1101101"; --5일 때 7-segmentwhen others => seg_decode
    리포트 | 26페이지 | 3,000원 | 등록일 2003.12.12
  • 디지털전자시계 보고서
    사용부품{품명종류개수(EA)74LS04NOT2*(gate 4)74LS08AND1*(gate 4)74LS477-segment decoder674LS86EX-OR1*(gate 4)74LS90Decade ... 내기 때문에 이 회로에서는 Anode common 을 사용해야 한다.7-segment 도 LED와 같기 때문에 약 1.5 ~ 3[V] 정도의 전압을 사용하는 것이 더 오래 사용 할 ... 출력을 낸다. truth를 보면 Negative출력을 낸다..7-Segment partSupply voltage : 1.5 ~ 3 [V]{그림Comment47은 Negative 출력을
    리포트 | 14페이지 | 1,000원 | 등록일 2007.10.09
  • [전자공학] 디코더 및 엔코더
    표시된 입력 코드를 어떠한 상태 또는 명령을 나타내는가를 해독하는 장치를 말하며, BCD 코드를 7-segment 숫자표시기로 나타내는 등 여러가지 경우가 있다.디코더(decoder ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다. ... -7세그먼트 디코더BCD-to-7세그먼트 디코더는 아래 그림에 나타낸 것과 같이 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b, ... , g
    리포트 | 11페이지 | 1,000원 | 등록일 2004.10.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:34 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대