• 통큰쿠폰이벤트-통합
  • 통합검색(396)
  • 리포트(387)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7-segment/Decoder" 검색결과 221-240 / 396건

  • [예비]실험8. Counter
    실험3-2. 7-segment 표시기를 갖는 BCD Counter- 위와 같이 74HC47을 이용하여 회로를 구성하고 7 segment display를 이용하여 실험3에서 얻은 0~ ... SN7447A(BCD-to-seven-segment decoders/drivers)? 74HC76(Dual J-K flip plop)④실험과정 및 예상 결과? ... 9에 해당하는 2진수 결과값 ABCD에 대한 7segment 결과 값을 관찰한다.BCD 코드7 segment display00000 (= a, b, c, d, e, f)00011 (
    리포트 | 5페이지 | 1,500원 | 등록일 2013.09.28
  • 실험8. 인코더와 디코더 회로 예비
    다음은 7-segment의 진리표이다. ... 실 험 목 적◎ Encoder와 Decoder의 기능을 익힌다.◎ 부호변환 회로의 설계방법을 익힌다.◎ Seven-segment(숫자표시기)의 사용방법을 익힌다.□ 이 론상태 혹은 ... BCD-7 세그먼트 디코더(BCD to 7-segment diode)는 BCD에서 10진 숫자를 받아들이고 10진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다.또한
    리포트 | 6페이지 | 1,000원 | 등록일 2013.02.02
  • 세그먼트를 이용한 주차 관리 시스템
    부품구성 3. 74LS47(BCD to 7-segment decoder) 74LS47 은 Binary code 를 7-segment 로 전달하게 하는 소자이다 .3. ... 부품구성 4. 7-Segment 7-segment 에는 common-anode type 과 common-cathode type 이 있는데 우 리 조에서 사용한 segment 는 common ... 카운터에서 발생한 2 진 데이터를 디코더를 거쳐 7-segment 에 출력된다 . 5. 1 단위 ,10 단위 , 전체 Reset 버튼으로 각각 초기화 한다 .채터링 방지 회로 ?
    리포트 | 21페이지 | 1,000원 | 등록일 2014.03.04
  • 기초회로 실험 Encoder와 Decoder를 이해하고, 이를 응용하여 7segment LED를 활용한다. (결과보고서)
    각각의 입력에 전압을 가하면 그에 따라 7-segment LED에 표시되는 숫자를 관찰하여, Decoder의 원리를 이해하는 것이다.7448은 BCD 코드를 입력받아서 해당 숫자를 ... 따라서 7-segment LED의 a, b, d, e, g에 불이 켜져숫자 ‘2’를 나타내게 된다.기초회로실험(2분반) 2조 결과보고서 2(제 8회)실 험 주 제Encoder와 Decoder를 ... 기초회로실험(2분반) 2조 결과보고서 1(제 8회)실 험 주 제Encoder와 Decoder를 이해하고, 이를 응용하여 7segment LED를 활용한다.제 출 일 시학 번 / 이
    리포트 | 3페이지 | 1,000원 | 등록일 2012.10.31 | 수정일 2013.11.11
  • Encoder와 Decoder의 기능 예비보고서08
    ▣ 목 적(1) Encoder와 Decoder의 기능을 익힌다.(2) 부호변환 회로의 설계방법을 익힌다.(3) Seven-segment(숫자표시기)의 사용방법을 익힌다.▣ 이 론상태 ... )라고 한다.(1) 디코더(Decoder)디코더(Decoder)는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력 논리회로이다. ... Encoder는 를 보면 알 수 있듯이 decoder회로의 반대기능을 지닌 회로로서2^n개 또는 이보다 적은 개수의 입력신호로부터 n개의 출력신호를 만든다.(3) 7-세그먼트 표시기
    리포트 | 3페이지 | 1,000원 | 등록일 2013.12.10
  • [텀프로젝트]디지털 논리회로 설계 - 2자리수 16진수 가감산기
    Adder BCD Decoder 7 segment AND OR 7 segmen tCircuitEquipment and P arts list Power Supply Digital Multi-meter ... W 8 to 2 Encoder NOT Register 0 - Add 1 - Sub X-OR Full Adder BCD Decode r Full Adder Full Adder Full ... Input Convert Add/Sub Output 0~9 (10) Decimal to binary Binary to decimal ConvertBlock Diagram DIP S/
    리포트 | 11페이지 | 2,000원 | 등록일 2013.12.13 | 수정일 2014.12.23
  • 부경대 디지털회로실험 텀프로젝트(5진 동기식 업 카운터)
    입력들0000000[표1-2]BCD-to-7 세그먼트 디코더에 대한 진리표1.3 FNDFND는 7-segment라고도 불리며 숫자를 표시하기 위해 사용된다. anode방식과 cathode방식이 ... 이론1.1 J-K 플립플롭R-S 플립플롭에서 S=1, R=1인 경우 불능 상태가 되는 것을 해결한 논리회로다. ... Segment Decoder Driver)저항 330Ω, Ahode type FND
    시험자료 | 5페이지 | 1,500원 | 등록일 2015.09.19 | 수정일 2019.06.10
  • [아주대] 논리회로실험 8장 예비(Counter)
    BCD-TO-SEVEN-SEGMENT DECODERS/DRIVERS라고 하며 7 segment로 0부터 9까지 표현할 수 있다. ... >위와 같이 74HC47을 이용하여 회로를 구성하고 7 segment display를 이용하여 실험3에서 얻은 0~9에 해당하는 2진수 결과 값 ABCD에 대한 7segment 결과 ... 예를 들면 0110이 들어온 경우 c, d, e, f, g가 출력되어 7 segment display를 이용하면 오른쪽 그림과 같은 6의 모양을 갖는 출력 값을 얻을 수 있다. 3,
    리포트 | 7페이지 | 2,000원 | 등록일 2013.09.25
  • 디지털논리회로 FINAL PROJECT - 공중전화
    데에 COUNT DOWN이 이용됨.7447Decoder과제(1)~(5)의 74192에서 출력된 Binary Code를 7-segment로 변환해주는 데에 이용됨7432OR과제(3) ... segment과제(4)에서 타이머에서 출력된 카운트다운이 표시되도록 함 등.Register(330Ω100Ω820Ω6.8kΩ)7-segment 나 LED에 과도한 전력이 공급되지 않도록 ... Binary 코드(전화번호)만 JK플립플롭을 통과하도록 함 등.LED과제(3)과 과제5에서 코인이 세 번(이상) 입력된 경우, 전화번호가 맞은 경우, 틀린 경우에 LED가 켜지도록 함7-
    리포트 | 10페이지 | 1,500원 | 등록일 2014.05.15 | 수정일 2014.06.03
  • FPGA 디지털 시스템 설계 : 16:1 Mux 및 Hex to 7 segment 설계
    BCD-to-7 Segment Decoder에 기능 추가하여 Hexadecimal-to-7 Segment Decoder Module 설계예제에 나온 BCD-to-7 Segment Decoder는 ... 입력 신호를 0부터 f까지 차례대로 변경하였는데, 그에 따른 출력 신호가 Hexadecimal-to-7 Segment Decoder Module 설계할 때 hex7segment.v에 ... Hex-to-7 Segment Decoder는 현재 4bit를 모두 사용하고 있기 때문에, 만약 7 segment로 a~f이외의 다른 알파벳을 추가하려면 5bit 이상으로 입력 bit
    리포트 | 4페이지 | 1,000원 | 등록일 2012.06.18
  • VHDL실습 디지털시계, 1초 생성기, 60초 카운터 등
    ●COMPONENT 구문 - 미리 설계된 회로들을 블록화, 부품화하여 전체 시스템을 구조적, 계층적으로 표현하는데 사용.1초 생성기(segment)- 최대 시뮬레이션 가능 시간이 100us이기 ... 차 례1초 생성기60진 카운터(초, 분)12진 카운터(시간)FND Decoder & 2x1 MuxTOP Design_VhdlTOP Design_Schematic●디지털 시계의 전반적인 ... 12진 카운터와 60진 카운터의 시간 값을 7-세그먼트로 표현해 주기 위해 위와 같은 소스를 통해 FND 디코더를 구성DATAFND_DATA65*************111110012010010030110000400110015001001060000010710110008000000090010000ex
    리포트 | 16페이지 | 3,500원 | 등록일 2015.05.30
  • 전자공학과 디지털 회로 및 실험설계 텀프로젝트 간이 전압계입니다.
    사용부품 및 공구▶ 만능기판▶ 납땜인두▶ 와이어스트리퍼▶ 프로브▶ AA Size 건전지▶ 스위치▶ 7-segment FND▶ NOT Gate▶ Decoder IC (74LS47)▶ ... 디지털회로 실험 및 설계Term Project 최종 보고서학 과 : 전자공학과과 목 : 디지털회로 실험 및 설계팀 원 :담당교수 :보고서 제출일 : 2015년 6 월 7 일목차1. ... 실험원리5-1 실험 부품 설명5-2 동작 원리6. 실험결과1. 프로젝트 주제10V 이하의 전압을 측정 할 수 있는 간이 전압계2.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.04.06 | 수정일 2017.05.23
  • 디지털시스템 verilog 실험 결과보고서의 모든 것,BCDto7Segment, FlipFlop, Counter, RAM, 유한상태머신회로, Dotmatrix, Stopwatch etc
    Binary 입력을 7-segment로 출력하는 디지털 회로를 설계한다.실험결과[작성코드 & 코드설명]1. ... Segment 설계 실험을 하였다. 4bit binary를 8bit BCD code 로 변환하는 컨버터를 4-to-16 라인 디코더를 이용해 설계한 후, Binary 입력을 7-segment로 ... 한번에 Binary to 7Segment를 설계할 수 없어서 Binary to BCD 변환과 BCD to 7segment를 각각 만들어 연결하는 식으로 설계를 하였다.BinarytoBCD
    리포트 | 32페이지 | 3,000원 | 등록일 2012.11.27
  • 아주대 논리회로실험 실험결과7 복호기와 부호기 (Decoder & Encoder)
    결국 우리는 7 segment 표시기를 갖는 BCD 카운터가 정상적으로 작동함을 확인할 수 있었다.● 토의 및 고찰이번 실험은 디코더와 인코더 실험으로 카운터를 이용해 디코딩(decoding ... 입력을 10진수로 고치고 그것을 7-segment 코드로 변환하는 역할을 하고 7 segment LED에 우리가 실생활에서 사용하는 아라비아숫자가 점등하는 회로를 구성하고 동작결과를 ... 이 처럼 회로구성이 다소 복잡했지만 한번에 회로가 정상작동해서 빠른 실험진행이 되었다.실험 5. 7 segment 표시기를 갖는 BCD 카운터7 segment 표시기를 갖는 BCD
    리포트 | 9페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 스톱워치(stop watch) 설계 프로젝트
    준다면 7490이 카운팅 하다가 정지했던 시간을 7segment에서 표시 해줄 것이다.(6,7 조건 성립)5진 카운터MUXMUXMUXMUXMUXMUXMUX1kHz3x8 decoder7segment ... .- 입력 : start/stop, rap/reset 버튼 2개로 구성- 출력 : 7segment 5개를 사용하여 분, 초, 초/10 (00:00 .0)를 구현[ 동작 조건 ]1) ... clear 입력이 별도로 존재하여 reset스위치를 통하여 5개의 7490 소자가 모두 동시에 clear되는 구조를 가진다.D LatchEnableD LatchD Latch0.1sec7segment1sec7segment10sec7segment1min7segment10min7segmentD
    리포트 | 7페이지 | 5,000원 | 등록일 2013.12.26 | 수정일 2020.12.14
  • 전자공학실험1 실험7장 결과보고서 : 디코더와 멀티플렉서
    결과 고찰3.1 7447 : 7- segment decoder1)그림 7.7의 회로를 Bread Board에 구현.2)입력 DCBA의 조합을 통해 7-segment LEDA에 나타나는 ... to 7 segment decoder1741518:1 MUX174155Dual 1:4 Demux (4:16 dec)1741934 bit up/down counter13.실험과정 및 ... 동작 원리를 익히고 7-segment LED에 display하는 모양에 따라 디코더를 설꼐할 수 있도록 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.31
  • 아주대학교 논리회로실험 실험8 예비보고서
    Decoders/Drivers)☞ A~D로 들어온 2진값을 7segment에 맞는 값으로 변환(a~g)☞ 3, 4, 5번 핀을 High 상태로 두어야 원하는 결과를 얻을 수 있음4 ... segment 표시기를 갖는 BCD Counter① 7447을 이용하여 0~9까지의 2진 값을 7-segment에서 0~9를 표현할 수 있도록 변환② 알파벳순으로 핀 번호가 배정되지지 ... 따른 진리표의 예상결과를 비교하여 출력을 확인한다.Clock PulseABCD0000011000201003110040010510106011071110ⅲ) 7-segment 표시기를
    리포트 | 9페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • Combination Logic Circuit Design
    Decoding is necessary in applications such as data multiplexing, 7 segment display and memory address ... The value of binary is combined by dial.⑦ Express 7-segment controller using verilog HDL.module VERILOG_HDL ... -Difference(decoder vs demultiplexer)As I explain what decoder/demultiplexr is, a decoder has no selector
    리포트 | 5페이지 | 1,500원 | 등록일 2012.11.27
  • 7-segment를 이용한 숫자 출력 프로젝트 최종 보고서
    7-segment를 이용한 숫자 출력- 논리회로 실험 -목차1. 서론1.1 7segment의 종류1.2 7-Segment의 제어1.3 사용 소자 DATA SHEET2. ... segment에서 출력이 표현되도록 회로를 설계하여 7-segment에 0~9까지의 숫자가 표현 되는 것을 확인하였다.1. ... 결론 및 고찰요약7-segment를 이용하여 0~9까지 표현되는 논리 회로를 설계 하였다. binary 스위치를 이용하여 0~9까지의 값을 각각 0000~1001로 입력하였고, 7-
    리포트 | 12페이지 | 2,000원 | 등록일 2013.12.22
  • 디지털 시계 실제로 구현하기
    사용한 부품 목록▶ 7-segment : 4개▶ 74LS47 (BCD to 7-segment Decoder) : 6개▶ NE555 (timer) : 1개▶ 74LS90 (Decade ... t _{H} =0.693(18.5k)(0.01 mu F)=128 mu s#T=104+128=232 mu s#duty```cycle= {128} over {232} =55.2%이때, ... 3개▶ SWITCH : 7개3.
    리포트 | 12페이지 | 20,000원 | 등록일 2016.05.20 | 수정일 2021.05.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대