• 통큰쿠폰이벤트-통합
  • 통합검색(396)
  • 리포트(387)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7-segment/Decoder" 검색결과 161-180 / 396건

  • BCD 7-Segment Decoder 설계제안서
    명제▶ 7447 BCD 디코더 & driver, 7-segment를 이용하여 BCD to 7-segment decoder를 설계하고, 2진 입력을 BCD코드로 디코드하는 과정을 눈으로 ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7-Segment 소자라고 이름이 붙여졌다.7-Segment는 2가지의 Type( ... 보낸다.▷ 7-Segment : 7447(74LS47) IC Chip에서 Decoding된 신호를 진리표에 따라LED가 점등된다.순번Input(4 Switchs)Output(7-Segment
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.21
  • 진수변환기(제안서)
    AND 74LS0835001,500IC 2-input OR 74LS3235001,500IC NOT 74LS0425001,000IC 7-segment 디코더74LS4765003,000IC ... 인해 게이트 사용 최소화BCD to 7-Segment Decoder로 구현□ 설계시 유의할 점- 최대한 정량적인 측정과 분석을 할것.- 만능기판을 사용하고, 납땜 등으로 완성도를 높일것 ... 설계목적 & 설계 시 유의점디지털 논리회로를 이용한 7-Segment 구현□ 목적0~9까지의 의미를 지닌 10개의 입력값을 10진수, 3진수로 7-Segment에 표현한다.최적화로
    리포트 | 9페이지 | 1,000원 | 등록일 2011.11.13
  • 아주대학교 논리회로 실험 설계 예비보고서
    출력은 총 12개의 line을 사용한다. 7개는 7-segment control signal a,b,c,d,e,f,g로 사용하며, 5개는 5개 7-segment의 전원으로 사용한다. ... CLKA는 5Mhz Clock과 바로 연결한다. 10진 counter를 7442 Decoder와 연결하였다.※7-segment FND507의 Datasheet3번과 8번 단자는 Common ... 5개의 IC 7490-7447 set를 지나온 각 신호들은 7-segment FND507의 각 control 단자에 알맞게 입력되어야 하지만 설계 시 오직 7개의 line밖에 사용할
    리포트 | 10페이지 | 2,000원 | 등록일 2016.06.14
  • 디지털실험 - 실험 4. 엔코더와 디코더 회로 예비
    D3의 논리식을 AB로 나타내어라.2) 다음은 7-segment의 진리치표이다. 회로를 구성하여 7-segment LED를 구동 확인하고 0 ? ... 실험 이론- 목 적1) Encoder와 Decoder의 기능을 익힌다.2) 부호변환 회로의 설계방법을 익힌다.3) Seven-segment 숫자표시기의 사용방법을 익힌다.- 이 론상태 ... )라고 한다.1) 디코더디코더(Decoder)는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력 논리회로이다.
    리포트 | 6페이지 | 1,500원 | 등록일 2017.04.02
  • 디지털 시스템 실험 7-Segment 결과보고서
    Decoder 5개를 이용하여 4bit Binary-to-BCD Convertor를 구현하였다.BCD 코드로 7-segment에 숫자를 표시할 수 있도록 하는 디코더를 구현하였다.2진수 ... 결과 값으로는 각 Line decoder에서 필요한 bit을 결과 배열 out에 저장함으로써 Binary-to-BCD convertor를 구현했다.입력 (2진수)출력 (7-segment ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4.
    리포트 | 6페이지 | 1,000원 | 등록일 2016.04.08
  • 전자전기컴퓨터설계실험2(전전설2)8주차예비
    Static/Dynamic 7-segment7-segment 하나가 쓰이는 것을 static 7-segment라고 한다. 즉, 십진수의 한 자리를 표현해주는 것을 의미한다. ... 이를 여러 개로 확장시킨 것이 바로 Dynamic 7-segment이다. ... 실험결과 (Results)1. static 7-segment코딩(text)// Static 7-segmodule static(bcd,a,b,c,d,e,f,g);input[3:0] bcd
    리포트 | 26페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 디지털 시스템 실험 7-Segment 예비보고서
    BCD-to-7segment 모듈은 각 자릿수마다 하나씩 필요하다.1. BCD-to-7 segment 진리표를 채운다.2. ... 연결하면 7-segment 출력 값을 얻을 수 있다.3. ... BCD-7 segment 구현2진수를 BCD 코드로 변환하는 컨버터를 완성하였다. 이제는 BCD 코드로 7-segment에 숫자를 표시할 수 있도록 하는 디코더를 설계해보자.
    리포트 | 10페이지 | 1,000원 | 등록일 2016.04.08
  • 아주대학교 논리회로실험 설계 에비보고서
    [Segment Output Control Part] : IC set를 지나온 신호들을 7-segment의 control 단자에 알맞게 입력IC set들을 지나온 각 신호들은 7-segment ... 즉, BCD data를 7seg control signal로 decoding해주는 decoder기능을 한다고 할 수 있다. ... FND에 연결하여 control 할 수 있다.[7-segment power control Part] : 5개의 output 단자로 5개의 7-segment의 전원을 효과적으로 제어7490
    리포트 | 6페이지 | 1,500원 | 등록일 2016.06.16
  • 디지털시스템실험, Verilog를 이용해 BCD to 7 segment를 통한 계산기 설계 및 구현, FPGA보드 결과 포함
    7-segment와 10의자리 7-segment 2개로 숫자를 표현하는 과정이다.1. ... 가산기와 연결하여 계산결과를 표현하는 7-segment 계산기 구현실험결과7-segment 블록 다이어그램을 보면 먼저 4bit의 input을 입력받아서(0~15까지 표현가능) 이를 ... binary to BCD converter에 통과시켜 각각 2개의 4bit의 input을 BCD to 7-segment에 입력하여 7-segment controller를 통해 1의자리
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    즉, BCD data를 7seg control signal로 decoding해주는 decoder기능을 한다고 할 수 있다. ... [Segment Output Control Part] : IC set를 지나온 신호들을 7-segment의 control 단자에 알맞게 입력IC set들을 지나온 각 신호들은 7-segment ... ]와 Clock signal을 받아 7-segment 출력에 알맞은 형태로 변형하는 [Segment Clock Counting Part]와 IC set를 지나온 신호들을 7-segment
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • 04-논리회로설계실험-예비보고서
    BCD to 7 segment Decoder- 이진화 십진코드(BCD)를 7-segment로 복호하는 장치이다. ... N개를 만든다.(3) 7 segment 란? ... 한 개의 7 segment에는 LED 7~8개를 이용해 원하는 문자 표시가 가능하다.-- FND 에는 크게 Common Anode와 Common Cathod의 두 가지 타입이 존재한다
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습7 [결과레포트]
    Purpose of this Lab7-Segment Decoder에 대해 이해하고 학습한다.Static 7-Segment 컨트롤러를 설계한다.Dynamic 7-Segment 컨트롤러를 ... 5 7-Segment Decoder 진리표_2Static 7-Segment 컨트롤러 설계그림 SEQ 그림 \* ARABIC 6 7-Segment DecoderDynamic 7-SegmentStatic ... 때문에 7-Segment를 제어하기 위한 디코더의 설계가 필요함.그림 SEQ 그림 \* ARABIC 4 7-Segment Decoder 진리표_1그림 SEQ 그림 \* ARABIC
    리포트 | 20페이지 | 1,000원 | 등록일 2017.10.19
  • 아주대학교 논리회로 HDL프로젝트 100점만점보고서 상세설명 + CODE포함
    지정한다.logic_vector를 integer로 변환하는 함수 작성7-segment 표시를 바탕으로 DecodingInput의 7(H)를 MSB로 한다. ... 67로 나누었을 때의 나머지)기존 7segment의 일반적인 순서인 0을 4로 시작하여 우측 그림과 같이 Segment의 LED 순서를 기준으로 한다.7 Segment의 표현 방법은 ... MSB로 주어 HGFEDCBA 순으로 Input을 넣어주었으니 유의하여야 한다.이 외 코딩에 약간 불필요한 부분이지만 넣은 부분에 대해 잠깐 언급하자면, function logic_7segment
    리포트 | 15페이지 | 2,500원 | 등록일 2016.07.09 | 수정일 2016.07.11
  • 디지털회로 실험 결과 보고서(디코더, 인코더)
    (위의 LED에는 불이 켜지지 않는다.)실험 10-5 BCD-to-7 segment decoder를 이용한 FND 구동회로BCD-to-7 segment decoder를 이용한 FND ... -4 decoder),7447(BCD-to-7 segment decoder)LED(Light Emitting Diode) 2개, 저항 100(Ohm) 2개, 저항 330(Ohm) 8개 ... -to -7 segment 디코더란?
    리포트 | 6페이지 | 1,000원 | 등록일 2015.12.20
  • 논리회로실험 결과보고서8 Counter
    사진은 클락에 따른 변화를 순서대로 나타내었다.Part 3-2. 7-segment 표시기를 갖는 BCD Counter74HC47과 7-segment를 이용하여 만든 BCD Counter이다 ... 사진은 0부터 9를 표현한 7-segment를 순서대로 나타내었다.실험에 대한 고찰? ... Part 3은 7-segment 표시기를 갖는 BCD Counter에 관한 실험이었다. 3-1에서는 74HC90을 통해 Counter를 구성하였으며, 3-2에서는 74HC47과 7-
    리포트 | 6페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Post
    – Verilog HDL 실습 Lab#08 Application Design @ 7-segment and Piezo Control, 서울시립대학교.Datasheet - HBE-Combo ... Application Design 7-segment and Piezo Control실험 날짜2016. 11.07학번이름Professor조교Expected Results[응용] Piezo ... 이를 다른 always문에서 decoding한 후, 7 Segment에 High Input을 인가한다.Reset을 위해 reset switch를 사용하며, 낮은 ‘도’ ~ 높은 ‘도
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • VHDL 디지털 시계
    이 계수기의 출력은 계수cond counter에서 출력되어 지는 초 계수 값을 표현하는 BCD또는 binary 값에 대하여 7-segment decoder를 이용하여 출력하는 블록이다 ... .■ hour displayhour counter에서 출력되어 지는 시 계수 값을 표현하는 BCD 또는 binary 값에 대하여 7-segment decoder를 이용하여 출력하는 ... 7-segment decoder를 이용하여 출력하는 블록이다.■ Control Circuits 블록이 블록은 StopWatch를 조정하기 위한 제어 신호를 발생시키는 블록 in std_logic
    리포트 | 21페이지 | 2,000원 | 등록일 2015.10.16
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Pre
    Application Design 7-segment and Piezo Control실험 날짜2016. 11.07학번이름Professor조교IntroduceObject7-Segment를 ... 있어 7-Segment라고 불린다.16진수를 표현하기 적합하며, 8개의 LED 조합으로 문자를 표시하기 때문에 Decoder를 이용하여 편리하게 제어할 수 있다.7-Segment ... 더 낮은 음계일수록 저주파이므로, 주기가 더 긴 것을 확인할 수 있다.Reference교안 – Verilog HDL 실습 Lab#08 Application Design @ 7-segment
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • Lab#08 Application Design1
    Post-Lab ReportLab#08 Application Design1@ 7-segment and Piezo_Control담당 교수강 상 혁담당 조교실 험 일실 험 조학 번이 름Contents1 ... -SegmentSeven segment display라고도 하며, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... Purpose of this lab7-segment와 Piezo의 특성을 이해하고, 이를 통하여 응용회로를 작성한다.나.
    리포트 | 30페이지 | 1,500원 | 등록일 2016.09.11
  • 실험8. Counter 예비보고서
    이를 7-segment라 한다. 이때 유의해야 할 점이 3,4,5번 핀인 LT BI/RBO RBI 핀을 High로 두어야 원하는 결과를 얻을 수 있다.3. ... [PART 3-1] 7-segment 표시기를 갖는 BCD Counter위와 같이 74HC90을 회로도처럼 연결해 주고 스위치를 이용하여 2번 3번 핀( R0(1) R0(2))가 그라운드에 ... 그리고 Q0핀과 Clock1 핀을 연결해주면 BCD Counter로 작동한다.5)SN7447BCD-TO-SEVEN-SEGMENT DECODERS/DRIVERS로서 입력 ABCD에 들어온
    리포트 | 8페이지 | 1,000원 | 등록일 2017.12.07
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:39 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대