• 통큰쿠폰이벤트-통합
  • 통합검색(396)
  • 리포트(387)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7-segment/Decoder" 검색결과 261-280 / 396건

  • 논리결과-8-Counter
    그렇게 하면 7-segment에 나타나는 값이 0~9의 값이 차례대로 나타날 것이다. 7-segment 내부는 다이오드로 구성되어 있는데 회로의 입력에 따라서 다이오드가 on/off되면서 ... 실험 3-2는 위의 BCD Counter를 이용하는 실험이다. 우선 74HC74를 이용하여 0~9까지의 2진 값을 7-segment에서 0~9를 표현할 수 있도록 변환한다. ... 3-2. 7-segment 표시기를 갖는 BCD Counter실험 방법 : 74HC74를 이용하여 0~9까지의 2진 값을 7-segment에서 0~9를 표현할 수 있도록 변환.InputOutputDCBAabcdefg000011111100001011000000101101101001111110010100011001101011011011011000111110111111000010001111111100111100113
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.23
  • 전전컴설계실험2-10주차 예비
    Piezo 1bit7 Segment 7bitOpearation 각각의 1~8의 버튼에 도레미파솔라시도의 음계를 인가버튼을 눌렀을 때 7 segment에 숫자가 표시되면서 Piezo에서 ... Lab2는 음계주파수와 Piezo, 7 Segment를 이해하고 Piezo를 이용하여 주파수를 대역별로 음계를 인가하면서 그리고 버튼을 눌렀을 때 7 segment에 숫자가 표시되면서 ... Segment를 제어하기 위한 Decoder의 설계가 필요하다.
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • [Lab#4]7-Segment LED Display 실습
    .#4]74LS147 10-line to 4-line Encoder, 7-Segment LED Display, 74LS47 BCD to 7-segment LED Display Decoder-driver ... Driver IC: 74LS48 BCD to 7-segment LED decoder IC▷Segment 명칭 부여:? ... (D)TTL 74LS47 IC: BCD to 7-Segment LED Display Decoder-Driver (for Anode Common type)▷Anode 공통 7-segment
    리포트 | 17페이지 | 1,000원 | 등록일 2011.06.02
  • Chapter 8(pre)
    Each segment is named a, b, c, d, e, f, g, and fig 8-9 shows its each location.fig 8-9. 7-segment7-segment ... First binary number has to be decoded to match 7-segment. ... The controller is usually called 7-segment display decoder whose main IC is 7446/7447.
    리포트 | 14페이지 | 1,000원 | 등록일 2011.04.04
  • 7490과 7447을 이용하여 시계회로 결과보고서
    segment 디코더의 진리표4. ... 전체 설계위의 사진은 7segment 6개와 7447 IC 6개를 연결하고 카운트하기 위한 7490IC 6개를 사용하여 시계를 제작하고 AND gate를 이용하여 24시간이 될 때 ... 관련이론3.1 74LS47 - BCD to 7-Segment Decode이 소자는 BCD to 7-Segment decoder로서 74LS90으로부터 10진수에 해당되는 BCD코드를
    리포트 | 8페이지 | 3,000원 | 등록일 2013.07.01
  • (디지털 공학 과목) 디지털 시계 제작 PPT파일
    button ( Input = 1 Clock )7-segment decoder1 h 7-segmentDecade counter ( 1 h)7-segment decoder10 min ... /100 분주 × 3Decade counter ( 1/10 분주 )Decade counter (1 sec )7-segment decoder1 sec 7-segment7-segment ... 7-segment6 num counter ( 10 min )7-segment decoder10 h 7-segment2 num counter ( 10 h)Block diagram (
    리포트 | 31페이지 | 2,000원 | 등록일 2010.08.25
  • 아주대 논리회로실험 counter 예비
    실험 준비74HC08(4개), 74HC47(1개), 74HC76(1개), 74HC90(1개), 7-segment(1개)Reigster 330OMEGA 7개4.실험 방법 및 예상결과실험1 ... /DRIVERSA~D로 들어온 2진값을 7segment에 맞는 값으로 변환(a~g)3, 4, 5번 핀을 High 상태로 두어야 원하는 결과를 얻을 수 있음3. ... segment를 통해 표현하는 실험이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2013.11.29
  • 실험4 프로젝트 보고서
    MCU AT89S51(U78051)ⅱ. 74LS47ⅲ. 7-segment(common-anode type)ⅳ. 7805 Regulatorⅴ. ... 무변화#define QS7 0x07 // 01 11 S1 S2+ + 증가#define QS6 0x06 // 01 10 S1 S3+ ? ... 표시기에 사용되는 a, b, ... , g 신호를 만들어내는 조합회로이다. 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 decoder라는 이름이 붙여졌지만, 실제로는
    리포트 | 26페이지 | 2,500원 | 등록일 2015.12.25
  • 디지털실험 결과 #4
    모듈은 Part4에서 작성한 3-bit Decoder 회로를 호출하여 5 to 1 MUX의 출력을 7-segment의 입력으로 넣어줘 원하는 글자를 얻어내는 회로이다.여기서 중요한 ... 왜냐하면 2-to-1으로 구현한 5-to-1 MUX를 사용하였고, part4에서 사용한 7-Segment Decoder도 사용하였다. ... input SW의 값에 따라 7-bit HEX0 (7-Segment)에 값을 대입해줘 3-bit로 7-bit를 대입하는 디코더를 구현7-Segment는 Low Active이므로 반전
    리포트 | 7페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 디지털 시계 설계 발표자료
    설계 과정모듈6진 카운터 2개 10진 카운터 2개 12진 카운터 1개 Mode 선택기 1개 Tri segment decoder 클럭 생성기*3. ... 시스템 작동사잔2. 7-Segment LED작동사진*5. 결과 및 고찰작동이 잘됨!구현 성공!!!But!많은 시행착오와 실수가 있었음설계에 앞서 사전공부와 이해가 필요*6. ... 설계 과정4) Full stripe검증(rtl)*3. 설계 과정4) Full stripe검증(timing)*4. 작동시범1.
    리포트 | 15페이지 | 1,000원 | 등록일 2012.05.29
  • Lab(4) 7 Segment LED Display 실습
    Driver IC: 74LS47 BCD to 7-segment LED decoder/driver IC2)Common Cathode(CC) type 7-segment LED display ... Driver IC: 74LS48 BCD to 7-segment LED decoder IC▷Segment 명칭 부여:? ... (D)TTL 74LS47 IC: BCD to 7-Segment LED Display Decoder-Driver (for Anode Common type)▷Anode 공통 7-segment
    리포트 | 14페이지 | 1,500원 | 등록일 2010.06.23
  • Combination Logic Circuit Design
    Decoding is necessary in applications such as data multiplexing, 7 segment display and memory address ... In digital electronics, a decoder can take the form of a multiple-input, multiple-output logic circuit ... The same method used to encode is usually just reversed in order to decode.
    리포트 | 6페이지 | 1,000원 | 등록일 2010.11.03
  • 논리회로실험 실험8 counter 결과보고서
    하지만 역시 두 실험에서 눈에 띄는 지연시간 차이는 알 수 없었다.◈ 실험 3-2 : 7-segment 표시기를 갖는 BCD Counter- 실험 과정 및 이론 :? ... 각 NAND게이트에 입력될 출력은 두개이기 때문에 나머지 두 입력 단자는 5V를 입력하여 NAND게이트가 정상적으로 작동할 수 있게 설계하였다.◈ 실험 3-1 : 7-segment ... 74HC90을 사용하여 BCD코드를 생성하고 그 코드를 BCD-TO-SEVEN-SEGMENT DECODERS/DRIVERS와 7SEGMENT로 표현하는 실험을 하였다.?
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.08
  • Encoder, Decoder, Mux 예비레포트
    Dip S/W 0~9 를 입력함에 따라 7-segment LED에 해당숫자가 표시될 수 있는 회로를 제작하여 검증하라.위 회로는 DipS/W 0~9를 입력함에 따라 7-segment ... BCD 코드를 7-segment 숫자표시기로 나타내는 등 여러가지 경우가 있다.※ 3 by 8 Decoder총 3개의 입력과 그것에 대응하는 8개의 출력변수를 갖는다.InputsOutputsA2A1A0D7D6D5D4D3D2D1D00000 ... *************00010010000001000110000100010000010000101001000001100100000011110000000※ BCD-to-7 Segment
    리포트 | 14페이지 | 1,000원 | 등록일 2010.10.16
  • 디지털회로설계 (쇼핑카트 계산기)
    7-segment 표시- 쇼핑카트에 담은 상품 중 취소하고 싶은 상품이 있는 경우, 가/감 Switch를 작동 후 바코드 인식-> 누적 값에서 차감- 쇼핑카트에 담긴 상품의 총 가격이 ... 바코드는 5bit로 가정, 입력된 5bit는 Decoder를 통해 32개의 Word Line 생성- 32개의 Word Line은 상품 가격이 저장된 ROM에 연결- ROM에서 출력된 ... 7bit의 상품 가격은 10bit Adder에 입력(상위 3bit 0입력)- Adder에 또 다른 입력은 이전 누적 값이 저장된 10bit 레지스터- Adder의 출력은 다시 누적
    리포트 | 18페이지 | 2,000원 | 등록일 2015.12.12
  • 아주대 논회실 실험8 예비보고서
    클럭신호를 카운트 하여 BCD신호로 바꿔주고, 3-2실험에서 7447이 그 BCD코드를 이용하여 7 segment 표시기에 십진수로 표시하는 것이다. ... 진리표를 보면 0, 1, 2를 카운터 했음을 알 수 있다.3) 세 번째 실험(1,2통합), 7-segment 표시기를 갖는 BCD Counter예상결과: 이번 실험은 3-1실험으로부터 ... 실험목적카운터의 동작원리와 특성을 이해 2진 시스템에서의 숫자표시와 2진 카운터에 대해 이해카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해
    리포트 | 5페이지 | 1,000원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 디지털시계 ppt
    디코더 (Decoder) : 컴퓨터언어를 사람이 알아볼수있게 해독하는것 ※ 7-segment 디코더 : 0 ~ 9 까지 숫자를 표현 . ... 세그먼트7-segment 초 분 AM / FM 시 회도 10 진 카운터 10 진 디코더 6 진 카운터 6 진 디코더 12 진 카운터 12 진 디코더 10 진 디코더 2 진 디코더 2 ... 각 소자마다 특성과 기능 다름 . ※ 주의 : 11 번과 12 번핀 모두 GND 로 설정하여야만 정상적으로 작동 7-Segment : 입력된 값을 숫자로 디스플레이 .
    리포트 | 19페이지 | 1,500원 | 등록일 2012.12.10
  • BCD 카운터 & up and down 카운터 제안서
    명 제⇒ 저번 설계에서 7447 BCD 디코더 & driver, 7-segment를 이용하여 BCD to 7-segment decoder를 설계하였다. 2진 입력을 BCD코드로 디코드하는
    리포트 | 5페이지 | 1,500원 | 등록일 2013.05.05
  • VHDL, ABEL - 7 segment
    ]->[0,0,0,0,0,0,0];"FEND seven_segment☞ 시뮬레이션 파형을 보면, 설계한 진리표와 결과가 일치하는 것으로 보아, 16진수를 표시하는 7segment decoder로써 ... std_logic;DCBA: in std_logic_vector (3 downto 0);Y: out std_logic_vector (6 downto 0) --Y=abcdefg);end ... ];"4[1,0,1,0,1]->[0,0,0,0,0,0,0];"5[1,0,1,1,0]->[0,0,0,0,0,0,0];"6[1,0,1,1,1]->[0,0,0,0,0,0,0];"7[1,1,0,0,0
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • 실험 8. Counter(예비)
    2진 값을 7-segment에서 0~9를 표현하도록 변환5. ... 실험 목적● 카운터의 동작 원리와 특성을 이해● 2진 시스템에서의 숫자 표시와 2진 카운터에 대한 이해● 카운터를 이용해 디코딩(Decoding)과 인코딩(Encoding)의 코드 ... J-K Flip-Flop을 통한 3진 값을 AND 게이트를 이용하여 확인③ 3개의 출력이 존재하므로 3개의 AND 게이트 사용● 7-Segment 표시기를 갖는 BCD Counter
    리포트 | 2페이지 | 1,000원 | 등록일 2012.09.14 | 수정일 2014.01.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:37 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대