• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(235)
  • 리포트(231)
  • 시험자료(3)
  • ppt테마(1)

연관검색어

"stopwatch" 검색결과 81-100 / 235건

  • VHDL VLSI SOC 설계stop watch
    => tb_Q6);tb_ss ... ;architecture tb_behave of tb_segconnect iscomponent segconnectport(ss, rst, clk : in std_logic;Q1 : ... library ieee;use ieee.std_logic_1164. all;use ieee.std_logic_unsigned. all;use ieee.std_logic_arith.all
    리포트 | 13페이지 | 1,000원 | 등록일 2018.11.18
  • HDL을 사용한 디지털 클럭 코드
    ·시간이 증가하는 코딩이 완료되면, 각 조건(alarm, stopwatch)에 맞춰, 알람이 울리거나 시 간이 멈추는 등의 코딩을 추가한다. ... ,mins,secs)으로 정했던 시간부터 동작하게 된다. ... 후에 loadtime이 0이되면 시간 정정이 된 후이므로 Flashing이 1로 변하게 되어, 그 후부터 시계가 동작하는데, 동작하는 시간은 loadtime이 1일 때 set(Hours
    리포트 | 20페이지 | 2,500원 | 등록일 2013.01.20
  • 관 내에서의 유체의 유동손실 , Head loss 측정
    We can measure flow rate using stopwatch and head loss by seeing manometer. ... measured values of pipe elbow.···9Table 5 Loss coefficient and some measure values of cross-section ... Cross-section change ··································································104.
    리포트 | 17페이지 | 2,000원 | 등록일 2019.07.18
  • Calibration-Ionized air blower(이오나이져)-영문,국문
    Disconnect the power supply from the charged Al plate and push the start button of stopwatch at the same ... then turn on the power of ion bar or ionized air gun and push the start button of stopwatch.Measure ... button of stopwatch at the same time.Measure the decay time and ion balance then confirm whether both
    리포트 | 10페이지 | 5,000원 | 등록일 2017.07.13
  • 디지털 시계 소스코드
    == STOP) || (stopwatch_count_control == RESET)){stopwatch_count_control = GO;}else if(stopwatch_count_control ... 10] = {0x3F, 0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6f};int seg_count = 0;// 스탑워치 변수 설정int stopwatch_count ... = 0, stopwatch_count_control = 0;int number_count = 0, number_count_temp = 0;int N1000, N100, N10, N1
    리포트 | 10페이지 | 2,000원 | 등록일 2016.01.14
  • Stopwatch 설계
    목적 stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 datasheet를 읽고 분석하는 능력과 원하는
    리포트 | 6페이지 | 1,000원 | 등록일 2010.03.12
  • 영어 요리 레시피, 요리레시피포트폴리오, 떡갈비 영어레시피
    for tablespoonand ‘tsp’ stands for teaspoon.TimerWhen measuring cooking time, use a stopwatch or timer ... SpoonA measuring spoon is a tool for measuring small volumes.There are tow measuring spoon ‘tbsp’ stands ... In foreigncountries such as the United States, 1 cup equals 240ml, butin korea, 1 cup equals 200mlMeasuring
    리포트 | 12페이지 | 5,000원 | 등록일 2016.03.19
  • VHDL 디지털 시계
    디지털시계의 블록 다이아그램은 stopwatch의 블록 다이아그램과 대동소이하다. 회로적으로 차이가 나는 곳은 제어 회로 블록일 것이다. ... 이 디지털 시계는 stopwatch의 입력 신호인 1/100 sec 신호를 1sec 신호로 입력시키고 60진수 계수기로 변화시키면 기본적으로 동작되는 디지털시계를 만들 수 있다. ... 신호를 발생하는 회로로 디지털시계의 시간 기준 신호를 발생시키는 회로이다. 1sec는 시계를 위한 기본 시간 신호이고, 0.01sec stopwatch를 위한 기본 시간 신호이다.
    리포트 | 21페이지 | 2,000원 | 등록일 2015.10.16
  • 일반화학실험1 - 용해열
    기구- calorimeter - Chemical balance - spatula- thermometer - Weighing dish - kimwipes- stopwatch - Top ... )이 용매(solvent)에 녹아 있는 상태를 용액(solution)이라고 한다.? ... 비열(specific heat capacity)은 어떤 물질 1 g의 온도를 1℃ 올리는 데 필요한 열량으로 단위는 J/g·℃ 또는 J/g·K이다.
    리포트 | 5페이지 | 2,500원 | 등록일 2018.12.29 | 수정일 2020.09.29
  • [Flowrian] 디지털 시계 회로의 Verilog 설계 및 시뮬레이션 검증
    : 스톱워치 제어용 유한상태머신 - alarm : 알람 관련 동작을 구현하는 모듈- timerun : 현재 시간 관련 동작을 구현하는 모듈- stopwatch : 스톱워치 관련 동작을 ... modeset : 디지털 시계의 동작 모드 선택용 유한상태머신 - alarmcontrol : 알람 제어용 유한상태머신 - timecontrol : 현재 시간 제어용 유한상태머신 - stwcontrol
    리포트 | 74페이지 | 4,000원 | 등록일 2011.09.17
  • VHDL 디지털 시계(소스,시뮬레이션,설명)
    Reset 버튼으로 모두 초기화 Mode 버튼을 눌러 stopwatch 가 된다 . ... , mode 가 off 인 경우 clock 이 작동 하여 출력값이 출력된다 .Bcd seg 입력 : clk , a, b, c, d, e, f(stopwatch 와 clock 의 출력값이 ... Control 과 mode 의 기능적인 면을 if 문을 통해 positive edge 경우로 조건을 준다 .Dclk_2v( stopwatch ) Reset 이 되는 경우 모두 초기화가
    리포트 | 16페이지 | 1,000원 | 등록일 2014.12.18
  • 충북대 전기전자공학 디지털실험 19장 예비보고서
    실험 19. stopwatch 설계목 적1. stopwatch의 기능과 구조를 이해한다.2. stopwatch에 들어가는 Block 설계를 한다.3. stopwatch의 동작을 확인한다.원 ... 된다. counter block설계에 필요한 카운터블록 이름출력 이름구성될 카운터 종류stopwatch1/10초10진 카운터초10진 카운터10초6진 카운터분10진 카운터2. ... 스위치부는 start, stop, reset스위치로 구성되며 start 스위치를 누르면 카운트를 시작하고 stop스위치를 누르면 카운트를 정지한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2008.02.18
  • 디지털 공학 설계프로젝트 팀별 최종 발표 PPT
    std_logic_vector (1 downto 0); // mode1이 stopwatch모드 MODE2 : in std_logic_vector (1 downto 0); 일때5 downto ... *VHDL Codeentity TOP is port (CLK : in std_logic; SW1 : in std_logic; -- 선택버튼 3개 SW2 : in std_logic; ... 2개는 모드선택용 SET : in std_logic; 1개는 수치를 조작 LED_HH : out std_logic_vector (6 downto 0); --7segment의 LED
    리포트 | 9페이지 | 1,000원 | 등록일 2010.10.23
  • 논리회로설계실험 프로젝트 7 segment 스탑워치
    각의 회로를 schematic symbol로 만든 후, 공통의 clk과 reset을 이용해 이어주었다. clock의 입력 sw는 debouncing코드를 거쳐 stopwatch 코드에 ... S1에서 스위치를 때면 입력은 0이되고 상태는 S0으로 바뀐다.3) Schematic설계한 stopwatch와 debouncing 코드를 schematic 방법으로 함께 동작하게 하였다.각 ... debouncing 회로● clock => stopwatch 몸체2) 핀 할당(2) 설계 방법1) Stopwatch스탑워치 코드의 작동방식은 다음과 같다.
    리포트 | 15페이지 | 3,000원 | 등록일 2015.04.17 | 수정일 2016.03.26
  • [디지털논리회로] StopWatch verilog로 설계하기
    ] led6;reg [3:0] sec_100;//카운터시 쓰는 레지스터 1/100초reg [3:0] sec_10;//1/10초reg [3:0] sec;//1초reg [3:0] sec ... 깜빡깜빡.~~ 하게 만드는..beginled_second = clock*100;//reg led_second는.... ... Verilog HDL 소스 및 주석module StopWatch(led1, led2, led3, led4, led5, led6, startstop, reset, clock, led_second
    리포트 | 8페이지 | 2,000원 | 등록일 2008.12.07
  • Milikan Oil Drop Experiment 예비
    leads, stopwatch, Micrometer, Barometer.● 설치방법① 실험자가 똑바로 앉아 기름방울을 관찰할 수 있게 가히위해 높이를 조절하고 이를 수평이 되게 만든다 ... 특수한 경우로서 물체의 크기가 매우 작거나 속도가 매우 느린 경우 (레이놀즈 수가 Re < 1)에는 stoke's law(스토크스 법칙)을 적용할 수 있다. ... 할 때, s=- {qv _{0}} over {mg}로 나타낼 수 있고 기름방울의 전하량q를q=- {smg} over {v _{0}} 다음과 같이 나타낼 수 있다.기름방울의 질량에
    리포트 | 4페이지 | 1,000원 | 등록일 2016.11.10 | 수정일 2022.08.30
  • [디지털논리회로] dash Watch (STOP WATCH) VHDL로 설계하기[쿼터스]
    진경시, 김경만, 박효열 공저, 기전연구사.2007#첨부a_top -> matc -> mux -> a state -> SD -> counter-> a_seg_drvTOP 블록 설계 ... std_logic_vector(3 downto 0);SD10: in std_logic_vector(3 downto 0);SD1: in std_logic_vector(3 downto ... out std_logic_vector(3 downto 0);DISM10 : out std_logic_vector(3 downto 0));end component;-- a_seg_drv
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.09
  • [디시설] stop watch 코딩 전북대 vhdl quartus
    // Clk_divmodule clk_div (clk, out); // Colck 분주 모듈input clk; // 입출력 변수 정의output out;reg [14:0] tempout;always @(posedge clk) // Posetive Edge 발생시 tem..
    리포트 | 6페이지 | 1,000원 | 등록일 2013.12.17 | 수정일 2016.07.16
  • 일반물리학실험 중력가속도측정실험
    쇠구슬 2개, 줄자실험방법① 공 조임기를 연직방향으로 지지대에 고정시키고 쇠구슬이 낙하할 거리 h를 적당히 정한다.② 측정 장치를 스마트 계시기에 연결한 후 계시기를 켜고 time stopwatch ... 낙하거리 h = 0.82m횟수낙하시간(큰 구슬)낙하시간(작은 구슬)10.399 s0.377 s20.399 s0.399 s30.399 s0.400 s평 균0.399 s0.392 sg10.301 ... 낙하거리 h = 0.97m횟수낙하시간(큰 구슬)낙하시간(작은 구슬)10.436 s0.439 s20.436 s0.439 s30.410 s0.439 s평 균0.427 s0.439 sg10.640
    리포트 | 4페이지 | 1,000원 | 등록일 2016.04.09
  • 아주대학교 논리회로실험 설계 에비보고서
    [Segment Output Control Part] : IC set를 지나온 신호들을 7-segment의 control 단자에 알맞게 입력IC set들을 지나온 각 신호들은 7-segment ... 이를 Decimal로 바꾸어주는 IC 74145를 사용하면 굉장히 빠른 시간에 output seg_power1부터 seg_power5에 LOW signal이 반복적으로 들어가게 된다 ... FND에 연결하여 control 할 수 있다.[7-segment power control Part] : 5개의 output 단자로 5개의 7-segment의 전원을 효과적으로 제어7490
    리포트 | 6페이지 | 1,500원 | 등록일 2016.06.16
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:17 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대