• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(235)
  • 리포트(231)
  • 시험자료(3)
  • ppt테마(1)

연관검색어

"stopwatch" 검색결과 141-160 / 235건

  • <<AVR 스탑워치 만들기>>AVR스탑워치,초시계,디지털초시계,atmega128,회로도,소스코드,동작원리,스톱워치,타이머카운터,세그먼트,segment,타이머
    · AVR MCU : ATmega128#include #include #define Fnd_delay 3 ... // FND Dynamic display 방식의 출력지연(mSec)unsigned int min = 0, sec = 0;unsigned int min_d1,min_d2,sec_d1, ... sec_d2,frm_d1,frm_d2;unsigned int stop_flag = 0;unsigned int count=0;위의 결과와 같이 시:분:프레임이 세그먼트에 1/100
    리포트 | 11페이지 | 4,000원 | 등록일 2015.10.25 | 수정일 2017.04.20
  • Atmega128 스탑워치 자료 (코드 및 자세한 설명 첨부) A+ 받은 자료
    임베디드 시스템 설계 보고서제목1Hz의 신호로 LED On/Off & Stopwatch 제어학과전자공학과학번2000000성명홍길동제출일2014.11.04.(화)① ATmega128의 타이머/카운터 Normal Mode 조사.? AVR의 타이머/카운터? 8비트와 16비트..
    리포트 | 7페이지 | 3,000원 | 등록일 2015.01.27
  • vhdl 디지털 탁상 시계 설계
    소스리스트1) clk_div.vhd2) debounce.vhd3) modestatus.vhd4) setalarm.vhd5) settime.vhd6) stopwatch.vhd7) time.vhd8 ... - 알람설정5) settime.vhd - 시간 설정6) stopwatch.vhd - 시간 업 카운트7) time.vhd - 현재시각 표시8) vhdlclock.vhd - top level소스리스트동작설명1 ... (무선 전송기능 추가)유인물발표자료부품목록● 만능기판 1, pole 4 set, 커넥터 3, dual pin header 3,linear socket, led 5, 7-segment
    리포트 | 34페이지 | 3,000원 | 등록일 2010.12.30
  • 마이크로프로세서 스톱워치(stop watch)
    LCD_STRINGDB ' 00:00:00.00 ',0MOV TIME_H,#0MOVTIME_M,#0MOV TIME_S,#0 ; clear timeMOV TIME_MS,#0READ_KEY ... R1,TIME_SCJNE R1,#60,T_INC_ENDMOV TIME_S,#0INC TIME_MMOV R1,TIME_MCJNE R1,#60,T_INC_ENDMOV TIME_M,#0INC ... ,#PPI_PORTAMOV A,#00000100BMOVX @DPTR,AJMP CLEARCK_KEY4: CJNE A,#00000111B,READ_KEY ; if SW4, stopCLR
    리포트 | 4페이지 | 1,000원 | 등록일 2008.07.11
  • [생화학 실험보고서] 효소반응속도론
    Supplies : 2 mL UV-transparent cuvette, distilled water(DW), parafilm, stopwatch4. ... [S]([E]T - [ES]) - k-1[ES] - k2[ES] = 0d[ES]/dt = k1[S][E]T - [ES](k1[S] + k-1 + k2)[ES] = k1[S][E]T ... / (k1[S] + k-1 + k2)= [E]T / (1 + (k-1+k2) / k1[S]) = [E]T / (1 + KM / [S])* KM = (k-1 + k2) / k1v0 =
    리포트 | 7페이지 | 1,500원 | 등록일 2012.07.19
  • 수업일지 양식
    알아보아요.목표TGMD(대근운동발달 검사) 검사를 통해 학생들의 운동 능력을 파악하여,앞으로 각 개인에게 적합한 운동을 계획 할 수 있다.준비자료율동CD, 배구공, 농구공, 매트, 운동기능 검사지, stopwatch시간활동
    리포트 | 1페이지 | 1,000원 | 등록일 2009.06.11
  • 작업관리 피피티
    작업연구 2) 시간연구(작업측정)측정 기법 ▪ 스톱워치법(stopwatch, sw법) ▪ 연속가동분석법(종일분석) ▪ 작업표본검사법(work sampling) ▪ 기정시간 표준법(PTS법 ... 각 종업원의 위치와 기능, 책임한계 등을 나타내는 도구로 조직도(organization chart)와 업무 분담표, 직무기술서(job description), 작업일정표(work schedule
    리포트 | 25페이지 | 2,000원 | 등록일 2011.11.08
  • VHDL Stop Watch를 이용한 스톱워치 설계
    VHDL Stop Watch 설계 목차1............. 실험 내용 및 목적2............. 소스작성 및 분석3............. 설계 VHDL Simulation값4............. Segment 동작사진5............. 비고 및..
    리포트 | 7페이지 | 1,500원 | 등록일 2012.11.17
  • 강체의 회전 실험 예비레포트
    Experiment1: PrecessionEQUIPMENT NEEDEDGyroscope, stopwatch, Super Pulley, Pulley Mounting Rod, Mass ... and Hanger Set, balance, Meter stick, Table clamp for pulley, Tread, Computer, Smatr Pulley, Smart Pulley
    리포트 | 6페이지 | 1,000원 | 등록일 2010.11.22 | 수정일 2014.04.14
  • 쿼터스로 만든 각종 LOGIC 회로, 가산기, 감산기, ALU, 369게임기, MUX, StopWatch, RAM Memory
    각 구현 방법에 따른 Input Gate의 수는(1) SOP : 6(2) POS : 10(3) 3-state buffer : 3 이고, 결국 회로의 비용면으로 보았을 때 3-state ... 2-To-1 Multiplexer를 SOP / POS / 3-state buffer로 구성하기2. ... 그러나 3-state buffer 구현에 있어서 Wire를 게이트 없이 Connecting한 부분은 복잡한 회로의 구성에서 위험적인 요소를 제공할 수 있기 때문에 decoder등의
    리포트 | 10페이지 | 2,000원 | 등록일 2008.06.15
  • C++로 코딩한 스탑워치 소스
    ; public:stopwatch(); // 생성자. ... ~stopwatch(); // 소멸자.start(); //타이머를 시작하기 위함.show(); //경과된 시간을 보여주기 위함. ... >#include using namespace std;class stopwatch{clock_t begin, end
    리포트 | 3페이지 | 1,000원 | 등록일 2006.10.15 | 수정일 2014.07.11
  • [마이크로프로세서] Timer Interrupt 를 사용하여 시계 디스플레이 (설계)
    구현- 회로 구성 등- 4개의 FND를 모두 디스플레이하여 4자리 stopwatch를 만든다.- FND 3번은 분단위를 0~9까지 디스플레이- FND 2번은 10초단위를 0~6까지 ... 설정#define SW1 P3_2 //sw 1#define SW2 P3_1 //sw 2#define dot 0x7F#define on 0#define off 1unsigned char ... 001=0;s_01=0;s_1=0;s_10=0;m_1=0;} //초기값void EX1_int(void) interrupt 2{s_001=s_001;}void T0_int(void)
    리포트 | 6페이지 | 1,500원 | 등록일 2010.12.14
  • Transference numbers_pre
    , stopwatch2. ... 시약sodium hydroxide, Copper(ll) sulphate, nitric acid, sulphuric acid, aceton, 증류수① sodium hydroxide분자식 ... and stopcock, carbon electrode(d=7mm), plate electrode(copper), retort stand(h=750mm), right angle clamp
    리포트 | 6페이지 | 5,000원 | 등록일 2011.06.10
  • DR Case study
    혈액이 자연스럽게 나오기 시작하면 시간측정기구(스톱워치, stopwatch)를 이요하여 30초마다 여과지를 출혈 부위에 가볍게 접촉시킨다. ... 사례연구 Case study: 정상 임신부 간호(질분만, 제왕절개분만)1. ... : Intrauterine preganacy at 38 weeks (single live birth)-부 : Large for gestational age-부 : Cephalopelvic
    리포트 | 9페이지 | 2,000원 | 등록일 2012.12.31
  • 뉴턴유체의점성률 결과보고서
    유지한다.(3) 피펫으로 15㎖의 증류수를 취하여 점도계 안에 넣는다.(4) 관구내의 증류수를 빨아올린 후 그대로 흘러내리게 하고 액면이 두 개의 눈금 사이를 통과할 때의 소요시간을 stopwatch로 ... 측정한다.(5) 이상의 과정을 Ethanol 수용액에 대해서 각각 행하고 시간을 측정한다.2) 실험 DATA에탄올 농도 (%)밀도평균소요시간 (s)0 (증류수)1.00658.975200.968641
    리포트 | 4페이지 | 1,000원 | 등록일 2008.09.26
  • 소아 기능적 평가 도구 모음
    아동의 전반적 감각통합 측정감각통합 장애의 조기 판별이나 진단③ 장소 : 최소한 10×15 ft 크기의 방④ 도구: 아동용 책상과 의자, 광대사진(masking tape), 연필, stopwatch ... , Motor scale, Behavior Rating scale3개의 척도로 구성- Mental scale, Motor scale는 아동의 현재 인지, 언어, 개인-사회성,소근육과 ... 대근육의 발달 단계를 평가- Behavior Rating scale는Mental scale, Motor scale의 해석을 촉진시키기 위해검사 상황에서 아동의 행동을 평가즉, 아동의
    시험자료 | 13페이지 | 2,000원 | 등록일 2013.02.02
  • 10월 29일 실험 보고서(OUR)
    Timer(stopwatch)2. ... DO meter with submersible stirrer and temperature probe3. 300ml BOD bottle4. magnetic stirrer with stirring
    리포트 | 9페이지 | 4,500원 | 등록일 2012.03.13 | 수정일 2016.01.21
  • 학습지도안_능률(장)1학년 9과
    Teaching AidsTextbook, computer, projector, PPT, worksheets, stopwatch, folded paperStepsProcedureTimeTeaching ... I'll show you some examples. ... Put the sentences in the right order.a) Henry's?b) Yes.C) How can I go to Henry's?
    리포트 | 10페이지 | 3,000원 | 등록일 2010.10.26
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    = time_sec; elsif mode = 01 then -- stopwatch 표시 ---mode1 hour_out = st_min; -- stopwatch 모드를 st로 표시 ... ment스탑 워치 모드sw1 Sw2 sw3 clk내부구조설계 및 구현방법알람 모드알람 설정sw0시간 조절 버튼sw2sw1sw1sw0sw3sw1sw0알람 on/off 기능알 람 부 ... min_out = st_sec; - sec_out = st_hour;모드별 스위치 설정부분elsif mode = 10 then -- alarm 표시 hour_out = alarm_hour
    리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • [물리학 및 실험] R-C 시상수측정
    (이때는 stopwatch를 이용하는 것이 편리할 것이다.) 방전과정에서 측정한를 T라 할 때의 수식 (2)와 (3)을 과정 ⑦에서 그린 그래프와 비교하라. 측정한와가 같은가?
    리포트 | 5페이지 | 1,000원 | 등록일 2010.11.30
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:21 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대